Question

In: Electrical Engineering

Design in VHDL a gray code decoder to excess 3 code Screenshot the simulation and code.

Design in VHDL a gray code decoder to excess 3 code

Screenshot the simulation and code.

Solutions

Expert Solution

VHDL code

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity g_to_e is
port(g:in std_logic_vector(2 downto 0);
e:out std_logic_vector(3 downto 0));
end g_to_e;

architecture Behavioral of g_to_e is

begin
e(3)<=g(2) and ((not g(1)) or g(0));
e(2)<=((not g(2)) and g(0)) or (g(1) and (not(g(0))));
e(1)<=not g(0);
e(0)<= g(2) xnor (g(1) xor g(0));


end Behavioral;

simulation results


Related Solutions

Design in VHDL a 8-1 Multiplexer Screenshot the simulation and code.
Design in VHDL a 8-1 Multiplexer Screenshot the simulation and code.
Design in VHDL a 16-1 Demultiplexer Screenshot the simulation and code.
Design in VHDL a 16-1 Demultiplexer Screenshot the simulation and code.
VHDL Code will not run simulation. What is the problem with my code?? --VHDL Code library...
VHDL Code will not run simulation. What is the problem with my code?? --VHDL Code library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.NUMERIC_STD.ALL; entity DataMemory16Bits is Port ( Address_DM : in STD_LOGIC_VECTOR(15 downto 0); Data_In_DM : in STD_LOGIC_VECTOR(15 downto 0); Clock : in STD_LOGIC; We_DM : in STD_LOGIC; Re_DM : in STD_LOGIC; Data_Out_DM : out STD_LOGIC_VECTOR(15 downto 0)); end DataMemory16Bits; architecture Behavioral of DataMemory16Bits is Type DataMemory16Bits is array(0 to 31) of STD_LOGIC_VECTOR(15 downto 0); signal memory: DataMemory16Bits; begin process...
gray code to excess 3 code converter circuit and its logic diagram and ic based diagram
gray code to excess 3 code converter circuit and its logic diagram and ic based diagram
Simulation Project Design a Seven Segment Decoder to decode and display CS and the last 2...
Simulation Project Design a Seven Segment Decoder to decode and display CS and the last 2 numbers of your #900 number (Student ID). Assume the corresponding inputs for the letters and numbers. Example: If your #900 number is 900123456 take last 2 numbers that is 56 and display 'CS56' Inputs Assumptions 00- for C 01-for S 10— Last number (6 in the given example) 11— Last but one number (5 in the given example) Write the Truth Table for the...
Provide the VHDL code and simulation results for a mod-7 counter, with asynchronous active low reset.
Provide the VHDL code and simulation results for a mod-7 counter, with asynchronous active low reset.
Simulate this code in ISE Simulator (ISim) and screenshot the simulation library IEEE; use IEEE.STD_LOGIC_1164.ALL; use...
Simulate this code in ISE Simulator (ISim) and screenshot the simulation library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity multiplexer is Port (     din:in STD_LOGIC_VECTOR (7 downto 0);    sel:in STD_LOGIC_VECTOR (2 downto 0);    dout : out STD_LOGIC); end multiplexer; architecture Behavioral of multiplexer is begin     process (din,sel)     begin       case sel is            when "000"=> dout <= din(7);            when "001"=> dout <= din(6);            when "010"=> dout <= din(5);            when "011"=>...
solve in MATLAB and screenshot code ?′′ −??′ +??= ???(????−?????)
solve in MATLAB and screenshot code ?′′ −??′ +??= ???(????−?????)
1. Design and implement a 4 bit binary to excess 3 code converter using CMOS transistors....
1. Design and implement a 4 bit binary to excess 3 code converter using CMOS transistors. (Note: Students are expected to design the circuit with truth table, solve the output expression by use of K Map or suitable circuit Reduction technique and implement using CMOS transistors.)
Code a 2:4 decoder with registered out. Also write a testbench of the decoder.
Code a 2:4 decoder with registered out. Also write a testbench of the decoder.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT