Question

In: Computer Science

Simulation Project Design a Seven Segment Decoder to decode and display CS and the last 2...

Simulation Project

Design a Seven Segment Decoder to decode and display CS and the last 2 numbers of your #900 number (Student ID). Assume the corresponding inputs for the letters and numbers.

Example: If your #900 number is 900123456 take last 2 numbers that is 56 and display 'CS56'

Inputs Assumptions

00- for C

01-for S

10— Last number (6 in the given example)

11— Last but one number (5 in the given example)

  1. Write the Truth Table for the circuit for all possible inputs with the corresponding outputs.
  2. Draw K-maps for each segment 'a' to 'g' and obtain a simplified logic combination of inputs for each output.
  3. Using LogiSim draw a combinational logic circuit for each output signal. Draw a combinational logic circuit using appropriate inputs and a 7- segment display (a to g) as outputs. Mark all the labels and name the circuits.

What to turn in:

  1. A short description on what is a seven segment Decoder, Truth table for your design, K Maps for simplified equation should be submitted as a word or pdf document with your name on it. (20 points)
  2. Circuit using LogiSim. (10 points)
  3. Submit the two files (Both pdf/word and circuit) in Canvas before the due date.

Solutions

Expert Solution

The designing of the given "CS65" using seven segment decoder.

Truth table for the given problem:

Here i take the inputs as s1 and s0 where s1 is the msb and s0 is the lsb bit.

To represent S on the 7 segment display it looks like 5 only. there fore the 5 and S are looks like same.

K-maps:

It is used to decrease the gates in the circuit. Group the max number of ones in powers of 2 for minimizing the gates.

K-maps for a and its equation:

K-maps for b and its equation:

K-maps for c and its equation:

K-maps for d and its equation:

K-maps for e and its equation:

K-maps for f and its equation:

K-maps for g and its equation:

circuit with Outputs for different inputs:

input s1s0=00

input s1s0=01

input s1s0=10

input s1s0=11

Seven segment decoder is combination circuit which consists of 7 leds which are arranged in a specific manner from a to g.

Here in logisim open window tab and click the combination anlaysis.It opens a window with inputs,outputs,truthtable,expression.

Add inputs to in the input tab and outputs in the output tab.

Now fill the truthtable based on the given problem.And finnaly give name to the circuit and build it.

Then it gives the circuit as above without 7 segment dispaly.

Here i connected the seven segment display here for better understanding.

Please upvote this..


Related Solutions

2. Design a digital circuit, using a decoder, only Nor gates, a 7-segment display, and D...
2. Design a digital circuit, using a decoder, only Nor gates, a 7-segment display, and D Flip Flops, that repeatedly outputs the sequences of characters ‘P’, ‘E’, ‘A’, ‘L’ if x=0 and ‘L’, ‘E’, ‘A’, ‘P’ if x=1 .
Design a digital circuit for output ‘e’ for the seven segment display for all possible inputs....
Design a digital circuit for output ‘e’ for the seven segment display for all possible inputs. Inputs: ABCD a f b g    e c d A) Derive a truth table B) Design using K-Map technique, a minimum AND-OR gate network for this system. Draw the resulting digital circuit diagram
Seven Segment display is applicable for alphanumeric display both . Suppose you are appointed as an...
Seven Segment display is applicable for alphanumeric display both . Suppose you are appointed as an engineer in company, which deals in same area (Seven Segment display unit) As per customer demand, you need to design a basic logic gate circuit diagram (Combinational circuit), which having output 1 (HIGH) when a 4-bit BCD code translated to a number that uses the upper ngt segment of a single seven segment display unit
Make a 2 bit binary adder subtractor multiplier on verilog and display it on seven segment...
Make a 2 bit binary adder subtractor multiplier on verilog and display it on seven segment using fpga
Write VHDL code for the following: Use HEX-to-seven segment display converters to display the inputs and...
Write VHDL code for the following: Use HEX-to-seven segment display converters to display the inputs and results for a 4-bit adder. The inputs are unsigned 4-bit binary numbers. The outcome is a 4-bit binary adder with LED display. First you need to create a symbol for the HEX-to-seven segment display converter. Then implement a 4-bit adder using VHDL. Finally, connect three HEX-to-seven segment display converters to display input X, input Y, and sum S.
Design in VHDL a gray code decoder to excess 3 code Screenshot the simulation and code.
Design in VHDL a gray code decoder to excess 3 code Screenshot the simulation and code.
In a seven-segment LED display, a square pattern can be created by enabling the a, b,...
In a seven-segment LED display, a square pattern can be created by enabling the a, b, f, and g segments or the c, d, e, and g segments. We want to design a circuit that circulates the square patterns in the four-digit seven-segment LED display. The circuit should have an input, en, which enables the circulation, and an input, cw, which specifies the direction (i.e., clockwise or counterclockwise) of the circulation. Write in verilog please.
Write a verilog code for digital clock and display it’s seven segment using fpga?
Write a verilog code for digital clock and display it’s seven segment using fpga?
Enter two valid BCD numbers. Show the result in seven segment display and LED How to...
Enter two valid BCD numbers. Show the result in seven segment display and LED How to do this using the components dip switch, Two BCD adders 74ls83, And gates, OR gates, 74 ls47 decoder, 7 segment display and LED
create verilog source files for a seven segment display using the software vivado. show your steps.
create verilog source files for a seven segment display using the software vivado. show your steps.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT