Questions
You are tasked with designing an ICS/SCADA system. You must choose a type of ICS/SCADA system...

You are tasked with designing an ICS/SCADA system. You must choose a type of ICS/SCADA system from the options listed below:

Correctional facility

Paint processing plant

Water distribution facility

Considering the type of system you chose from the list above, discuss the model you would use (time or event-based or a combination) and for what purposes. What considerations do you need to take into account in your design? How does the PLC fit into this system?

In: Electrical Engineering

how do you know your product is a good one and how do you sell the...

how do you know your product is a good one and how do you sell the idea to an investor?

In: Electrical Engineering

V. How does ARM processor differentiate between a timer interrupt and an A/D interrupt? VI. What...

V. How does ARM processor differentiate between a timer interrupt and an A/D interrupt?

VI. What does privileged mode mean and what is the major difference between this mode and non-privileged mode?

VII. There are two interrupt pins on ARM microprocessor: IRQ and FIQ. Which one can interrupt the other one and why?

In: Electrical Engineering

DO IT To receive credit for this assignment, each problem must be computed using a MATLAB...

DO IT To receive credit for this assignment, each problem must be computed using a MATLAB script. Do not hard-code computations. Define variables for the parameters given in the problem, and use MATLAB to calculate necessary intermediate and final output variables. Inlcude the MATLAB Code. A 200-mi. transmission line has the following parameters at 60 Hz: Resistance, r = 0.24 Ω/mi. per phase Series Reactance, x = 0.71 Ω/mi. per phase Shunt Susceptance, b = 5.42 × 10−6 S/mi. per phase Determine the signal wavelength λ and the propagation constant γ, attenuation constant α, phase constant β, and characteristic impedance Zc of the transmission line at 60 Hz using the general expressions in terms of Z and Y parameters valid for conductance G = 0 S/mi. Next, calculate the “convenient” artificial value for conductance G = RC/L and α, β, and Zc using this approximation. Finally, determine the sending-end voltage and current if the line is open-circuited and the receiving-end voltage is 100 kV line-to-line.

In: Electrical Engineering

VHDL Code: Design a 16-bit 4-to-1 multiplexer using data-flow implementation style. Data inputs and output should...

VHDL Code: Design a 16-bit 4-to-1 multiplexer using data-flow implementation style. Data inputs and output should be 16-bit vectors. In your test bench, you should include enough number of test cases to show the correctness of your design.

In: Electrical Engineering

Renewable Energy Engineering Question: Q) If the sun goes down, what other forms of renewable energy...

Renewable Energy Engineering Question:

Q) If the sun goes down, what other forms of renewable energy can be used to help provide a continuous flow of power to the grid?

In: Electrical Engineering

Task 2 (P1.2) For this task you are required to summarize the use of microcontrollers in...

Task 2 (P1.2)

For this task you are required to summarize the use of microcontrollers in each of the following applications. Indicate the general purpose and the main advantage of using a microcontroller.

Arcade games

Mechanical dolls (for example, Tickle Me Elmo)

Toy drones

Each of these must be at least one written paragraph. You are required to cite your sources.

In: Electrical Engineering

1.Will an oscilloscope interfere with a circuit under test? Justify your answer. 2.For a dual power...

1.Will an oscilloscope interfere with a circuit under test? Justify your answer.

2.For a dual power supply, show the necessary connection to obtain -5V and -8V with respect to a common (chassis) ground. Repeat for -5V and +8V.

3.Explain the use of the current limiting feature for the dual-voltage power supply.

4.What happens to the output current and voltage when the power supply is shorted?

5.Explain the function of the AC/DC selector on the DMM.

In: Electrical Engineering

If you were to design a communication system for voice frequencies, explain which modulation technique you...

If you were to design a communication system for voice frequencies, explain which modulation technique you would use for the following cases: Very high Immunity to noise and interference is important Narrow signal bandwidth is important, Simple and a cheap electrical receiver is importance. In your opinion, which technique is the most expensive and why?

In: Electrical Engineering

Using the indicated parameters for each system element (P in, G1, L & G2) and with...

Using the indicated parameters for each system element (P in, G1, L & G2) and with impedances matched for each junction (node, Ni ) of this end-to-end system, compute a) the net linear system gain, b) the net system gain in dB, and c )the power levels (in dB) at each stage of this cascaded system (i.e. Pin, N1, N2, and P out). Pin=0.1 W, G1=400, L=2,000, G2=500

In: Electrical Engineering

VLSI circuit design integrated ciruit : fabrication and manufacturing in industry

VLSI circuit design

integrated ciruit : fabrication and manufacturing in industry

In: Electrical Engineering

imulate/ code in verilog: Develop an electronic key system using the FSM (moore) methology. Use from...

imulate/ code in verilog:

Develop an electronic key system using the FSM (moore) methology. Use from student ID and use the last 4 digits:(0864)

if the number is <5 then = 1

if the number is >=5 then = 0

example: 8012345. Take last 4 digits (2345) which makes it 0001.

In my case, the last 4 digits are 0864, which makes it 0110

features of FSM (moore FSM):

input 4 bits serially,

if the sequence is correct, then you proceed to next state. Otherwise, go to error state, then go to rest state

In: Electrical Engineering

Questions is about PLC Project. 13.2 Expand on Installation Acceptance Testing, ie: when and what would...

Questions is about PLC Project.

13.2 Expand on Installation Acceptance Testing, ie: when and what would you expect to be doing / checking.

13.3 Expand on Start-Up Testing , ie: when and what would you expect to be doing / checking.

In: Electrical Engineering

Assignment Instructions: 1) The Factorial The factorial of a non-negative integer ??, denoted by ??!, is...

Assignment Instructions:

1) The Factorial

The factorial of a non-negative integer ??, denoted by ??!, is the product of all positive integers less than or equal to ??. The textbook has an example of a recursive MIPS implementation of factorial. Additionally, a simplified version of the MIPS assembly language recursive implementation of the factorial function is attached. Trace the factorial example carefully using QTSPIM

2) Recursive definition of multiplication

The function ??????????(??, ??) for two positive integers 1 ? ??, and 1 ? ??, is defined as the following:

??????????(??, 1) = ??; ??????????(??, ??) = ?? + ??????????(??, ?? ? 1)

Write a recursive version of ??????????() in C or C++ and a pseudo C program (based on chapter 2 in the book) then use these programs to develop a MIPS program that gets as input two integers 0 < ?? ? 255, and 0 < ?? ? 255, and returns the result of ??????????(??, ??) in $v1.

Your deliverable should be the pseudo C and the assembly level function

Given code file one:

//copyable code

1.

.data

messagestr: .asciiz "Enter Number: "

.text

.globl main

main:

la $a0, messagestr

#load the data

li $v0, 4

#system call

syscall

#load the data

li $v0, 5

#system call

syscall

#move the data

move $a0,$v0   

jal factorial

move $a0,$v0   

li $v0,1   

syscall

li $v0,10

syscall

factorial:

#add the value

addi $sp,$sp,-8

#store the value  

sw $s0,0($sp)

#store the value   

sw $ra,4($sp)

#move the data

move $s0,$a0

#load the data   

li $v0,0x00000001 # 1

beq $s0,$v0,loop2

#branch instruction  

addi $a0,$s0,-1   

jal factorial

#multiply the data

mult $v0,$s0   

mflo $v0   

j loop3

#loop

loop2:

li $v0,0x00000001

loop3:

lw $ra,4($sp)   

lw $s0,0($sp)   

addi $sp,$sp,8   

jr $ra # return

Given code file two:

#####################################################################################
#       Functional Description: Main program to test Factorial function
#       Enter a negative number to terminate run
#####################################################################################

                .data
                .align          2

                .text
main:           addiu           $sp,  $sp,  -8  # Allocate space
mloop:

                li              $v0,  4         # Get value for N

                sw              $v0, 0 ($sp)
                jal             Fac             # Call factorial
                or              $v1,  $v0, $0
                addiu           $sp,  8         # Deallocate space

                li              $v0,  10
                syscall
#####################################################################################
# Functional Description: Recursive Factorial Fac  (N:  in,  N! :out)
#####################################################################################
Fac:
        lw              $a0,   0 ($sp)


        addiu           $sp,   $sp,   -16       #   Allocate    
        sw              $ra,   12 ($sp)         #   Save return address
        sw              $a0,   8($sp)
        slti            $t0,   $a0,  2          #   If N is 1 or 0,  then return the value 1
        beqz            $t0,   Go
        li              $v0,   1
        b               facret
Go:
        addi            $a0,   $a0,   -1                #
        sw              $a0,   0 ($sp)          #   Pass N-1 to factorial function
        jal             Fac                     #   Recursive call
        lw              $v0,   4($sp)           #   Get  (N-1)  !  back.
        lw              $ra,  12  ($sp)
        lw              $a0,  8 ($sp)
        mult            $v0,  $a0                       #  N* (N-1)  !
        mflo            $v0
facret:
        addiu           $sp,  $sp,  16          #  Deallocate
        sw              $v0,  4 ($sp)
        jr              $ra

In: Electrical Engineering

1) For a p-n junction in thermal equilibrium, write your own codes in Matlab to calculate...

1) For a p-n junction in thermal equilibrium, write your own codes in Matlab to calculate and plot the electric field distribution across the p-n junction along with appropriate axes labeling.

In: Electrical Engineering