Questions
Explain the operation of 12-pulse full controlled rectifier by sketching circuit schema and related waveforms and...

Explain the operation of 12-pulse full controlled rectifier by sketching circuit schema and related waveforms and by giving the fundamental equations. What are the advantages of that circuit?

In: Electrical Engineering

VHDL Code will not run simulation. What is the problem with my code?? --VHDL Code library...

VHDL Code will not run simulation. What is the problem with my code??

--VHDL Code

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.NUMERIC_STD.ALL;

entity DataMemory16Bits is
Port ( Address_DM : in STD_LOGIC_VECTOR(15 downto 0);
Data_In_DM : in STD_LOGIC_VECTOR(15 downto 0);
Clock : in STD_LOGIC;
We_DM : in STD_LOGIC;
Re_DM : in STD_LOGIC;
Data_Out_DM : out STD_LOGIC_VECTOR(15 downto 0));
end DataMemory16Bits;

architecture Behavioral of DataMemory16Bits is
Type DataMemory16Bits is array(0 to 31) of STD_LOGIC_VECTOR(15 downto 0);
signal memory: DataMemory16Bits;

begin
process (Address_DM, Clock, We_DM, Re_DM)
begin
Data_Out_DM <= (Others=>'Z');
if (Clock='1')
then
if We_DM='1' and Re_DM='0'
then
Memory(to_integer(unsigned(Address_DM))<= Data_In_DM;
end if;
if Re_DM='1' and We_DM='0'
then
Memory(to_integer(unsigned(Data_In_DM)<= Address_DM;
end if;
if Re_DM='1' and We_DM='1'
then
Data_Out_DM<=Memory(to_integer(unsigned(Address_DM);
else
Data_Out_DM<=(Others=>'Z');
end if;
end if;
end process;
end Behavioral;

In: Electrical Engineering

We want to make a machine that detects an alternating pattern. It should indicate whether three...

We want to make a machine that detects an alternating pattern. It should indicate whether

three or more alternating bits have been observed on our serial input X. So, if X has 010 or

101, the output Z will be high, otherwise the output will be low. Assume that when the

machine starts up or is reset, is has not seen any bits of data to work with and the output

cannot go high until it sees the third bit of data.

Your task is to design two CONCEPTUALLY different synchronous state machines (Mealy

and Moore) in Logisim. You can use either J-K or D flip-flops.

Your Task:

Make the State Diagram

Make the State Assignments

Make the State Transition Table

Make the K-Maps

Derive the Equations

Implement in Logisim

In: Electrical Engineering

Write a Behavioral model VHDL code that implements an ALU that can perform addition, subtraction, multiplication,...

Write a Behavioral model VHDL code that implements an ALU that can perform addition, subtraction, multiplication, shift right, shift left, logical NAND, and logical NOR. Write a VHDL test bench to test the ALU with at least one test vector per operation.

In: Electrical Engineering

A company plans to purchase a transformer that will be used 12 hours at full load...

A company plans to purchase a transformer that will be used 12 hours at full load and 12 hours with no load but still connected to the power supply at all times. The transformer has copper losses of 5 kW and core losses of 3.5 kW. Electricity costs $0.07/kW-hr. What is the total cost of transformer losses over a period of 1 year?

In: Electrical Engineering

A company plans to purchase a transformer that will be used 12 hours at full load...

A company plans to purchase a transformer that will be used 12 hours at full load and 12 hours with no load but still connected to the power supply at all times. The transformer has copper losses of 5 kW and core losses of 3.5 kW. Electricity costs $0.07/kW-hr. What is the total cost of transformer losses over a period of 1 year?

In: Electrical Engineering

why differentrial signalling is better then common?

why differentrial signalling is better then common?

In: Electrical Engineering

why differential signal cancels inductance? thanks

why differential signal cancels inductance?

thanks

In: Electrical Engineering

An inductor in a switch-mode power supply that operates at a peak current of 8 A...

An inductor in a switch-mode power supply that operates at a peak current of 8 A needs to store 80 mJ of energy, thereafter release it to a 10 ? load resistor. Suppose this inductor had to be custom designed and built as a solenoid coil wound on a pencil (10 mm diameter) with no magnetic properties. Only one layer of turns is allowed on the pencil’s outer surface, and 0.1-mm diameter enamel coated wire has to be used. Answer the following carefully:

(a) Calculate the required inductance to store the energy.

(b) Draw an isometric sketch of the air-core inductor with only one layer of turns, showing its dimensions and a few turns of wire. Also draw some flux lines.

(c) Calculate the effective cross-sectional flux area of the air-core inductor.

(d) Do 3 iterative calculations to solve the number of turns (tightly wound next to each other) required to achieve the inductance in (a). Comment on your result after each iteration.

In: Electrical Engineering

Define the following terms related to D/A converter;                                 &nbsp

Define the following terms related to D/A converter;                                  

            (i) resolution   (ii) accuracy    (iii) settling time         (iv) offset error      (v) gain error

In: Electrical Engineering

What is the high voltage and insulation tests for transformers ? How we can generate full-wave...

What is the high voltage and insulation tests for transformers ? How we can generate full-wave ,chopped-wave and switching wave voltages in Marx generator for (Lightning and switching impulse tests )?

In: Electrical Engineering

Butterworth filter a)Design a 5th order low pass Butterworth low-pass filter with a cut-off frequency of...

Butterworth filter

a)Design a 5th order low pass Butterworth low-pass filter with a cut-off frequency of 1592 Hz and a dc gain of 3dB. Find and present the mathematical transfer function of the filter, showing all your steps.

b) Write a Matlab code to plot the magnitude of this function with a linear scale in dB units on the ordinate, and a log scale of frequency on the abscissa.
The plot range should be: ordinate- linear scale from -100dB to +10dB; abscissa- log scale from 1000 rads/sec to 100,000 radians/sec.


In: Electrical Engineering

For a company that is obliged to employ an electrical and electronic engineer, I would like...

For a company that is obliged to employ an electrical and electronic engineer, I would like you to make a risk assessment and include the following topics.

STEP 1: Detect hazards

STEP 2: Identify Risks

STEP 3: Decide on control measures

STEP 4: Complete control measures

STEP 5: Watch and repeat

In: Electrical Engineering

QUESTION 16 in 1000 words discus the campus’ load profile? based on the given information or...

QUESTION 16

in 1000 words discus the campus’ load profile?

based on the given information or Context below,

Context:

Mookodi Enterprise is a business consultancy with 543 employees. They are based in the north of Johannesburg on a 10Ha campus. Mookodi connects to the national grid at 11kV and pays a seasonal industrial low voltage (LV) tariff of 141.67 cents in summer and 165.94 cents in winter. Thirty percent of Mookodi’s employees are based at their clients’ offices and are not permanently based on the campus.

The campus has the following buildings:

  • Canteen (Purple)
  • Welcome Centre, Gym and Conference Centre (Green)
  • Guardhouse (Light blue)
  • Main Office Building 1 (Maroon)
  • Main Office Building 2 (Dark blue)

Below is the campus’ electricity consumption over the last year:

MONTH

TOTAL KWH

ACTUAL BILL

DIFFERENCE

January

218980

220000

0%

February

220721

242000

-9%

March

227258

223000

2%

April

241226

245000

-2%

May

236821

245000

0%

June

262960

267078

-2%

July

300857

298047

1%

August

246849

245399

1%

September

222451

225476

-1%

October

208031

209000

0%

November

215118

219000

-2%

December

219604

215000

2%

In: Electrical Engineering

i. Explain how to evaluate the correct combination of RL and CL for appropriate filter efficiency....

i. Explain how to evaluate the correct combination of RL and CL for appropriate filter efficiency. ii. Suggest three (3) alternative designs if the output voltage is to be used to drive electronics with various input voltages. (V

In: Electrical Engineering