Questions
What is the difference between the energy production of a PV Cell in a winter day...

What is the difference between the energy production of a PV Cell in a winter day and a summer day if the days are equally bright?

In: Electrical Engineering

Derive C-V characteristics for n-type MOS at high frequency and low frequency

Derive C-V characteristics for n-type MOS at high frequency and low frequency

In: Electrical Engineering

Derive C-V characteristics for n-type MOS at high frequency and low frequency

Derive C-V characteristics for n-type MOS at high frequency and low frequency

In: Electrical Engineering

QUESTION 1) Large generators in parallel with one another are usually controlled using a philosophy called...

QUESTION

1) Large generators in parallel with one another are usually controlled using a philosophy called
droop-control. Brie?y discuss how droop-control works and why it is suitable for this application.

In: Electrical Engineering

What is the relationship between distance in nanometers and the number of atoms? What is the...

What is the relationship between distance in nanometers and the number of atoms?

What is the difference between incremental nanotechnology and radical nanotechnology?

A square nanodevice being manufactured measures 0.1 micrometers on each side and contains components that measure 25 nanometers by 50 nanometers. How many components fit on the nanodevice?

What is magnetic moment and how does it relate to the exchange interaction?

How is the strength of a material improved through the use of nanoscale grains?

In: Electrical Engineering

What resources might you use to protect yourself (as an organization) against a Distributed Denial of...

What resources might you use to protect yourself (as an organization) against a Distributed Denial of Service (DDoS) attack. How effective do they appear to be, based on what you've been able to find? Should businesses consider implementing these protections? Why or why not?

In: Electrical Engineering

a – DSB. Write the code for an m-file (script) to generate a DSB signal. The...

aDSB. Write the code for an m-file (script) to generate a DSB signal. The modulating (message) signal is a single tone signal with frequency 1kHz and the carrier frequency is 30kHz. Time Vector: 3001 points over range from 0 to 3ms (3 cycles of the modulating signal). Plot your original message signal both in time and its spectrum. (Note: the Matlab examples 6.1 and 6.2 will help, but use the cosine functions for your signals instead of sine as in the example). In your report you should include the plots for the modulated signal in time and for the spectrum of the modulated signal.

bAM. For the same message signal and same carrier as in part a. write the code for an m-file to generate an AM signal. Do simulations for the following percentages of modulation: 1. 25% 2. 50% 3. 100% and 4. 125%. In your report you should include the plots for the modulated signal in time and for the spectrum of the modulated signal for each of the 4 cases. Discuss the results relative to the modulation index m.

cSSB. Use Matlab to implement a 4 pole-pair Butterworth band-pass filter to select the lower frequency component of the DSB modulated signal in part a. Plot the signal at the output of the filter in time and its spectrum. (Example 5.1 should be of help).

Part 2. Demodulation

For each of the signals generated in Part 1 in this second part demodulation scheme should be implemented. (Hint: it might be better to implement demodulation right after the modulation since you want to use the modulated signal of part 1 as your initial signal of part 2.) For demodulation you need first to multiply the modulated signal of part 1. (which is considered now to be the received signal assuming no noise was added and no other degradation of the signal happened during transmission = ideal channel) with the carrier. It might help to do the hand calculations too, to know exactly what to expect from your simulations. Use a Butterworth low-pass filter of your choice to select the desired component. You should recover this way your original modulating signal (eventually scaled in amplitude). For AM case do the demodulation part only for case 2, m=0.5.

In: Electrical Engineering

Simulate this code in ISE Simulator (ISim) and screenshot the simulation library IEEE; use IEEE.STD_LOGIC_1164.ALL; use...

Simulate this code in ISE Simulator (ISim) and screenshot the simulation

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity multiplexer is
Port (

    din:in STD_LOGIC_VECTOR (7 downto 0);
   sel:in STD_LOGIC_VECTOR (2 downto 0);
   dout : out STD_LOGIC);
end multiplexer;

architecture Behavioral of multiplexer is
begin
    process (din,sel)
    begin
      case sel is
           when "000"=> dout <= din(7);
           when "001"=> dout <= din(6);
           when "010"=> dout <= din(5);
           when "011"=> dout <= din(4);
           when "100"=> dout <= din(3);
           when "101"=> dout <= din(2);
           when "110"=> dout <= din(1);
           when "111"=> dout <= din(0);
           when others=> dout <= din(0);
      end case;
end process;
end Behavioral;


TEST BENCH:

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;

ENTITY tb_multiplexer_vhd IS
END tb_multiplexer_vhd;

ARCHITECTURE behavior OF tb_multiplexer_vhd IS

-- Component Declaration for the Unit Under Test (UUT)
COMPONENT multiplexer
PORT(
din :IN std_logic_vector(7 downto 0);
sel:IN std_logic_vector(2 downto 0);
dout :OUT std_logic
);
END COMPONENT;

--Inputs
SIGNAL din : std_logic_vector(7 downto 0) := (others=>'0');
SIGNAL sel : std_logic_vector(2 downto 0) := (others=>'0');

--Outputs
SIGNAL dout : std_logic;

BEGIN

-- Instantiate the Unit Under Test (UUT)
uut: multiplexer PORT MAP(din => din,
sel => sel,
dout => dout
);

process

         din<= "10100011"; wait for 10ns;

         sel<= "000"; wait for 10ns,

         sel<= "001"; wait for 10ns,

         sel<= "010"; wait for 10ns,

         sel<= "011"; wait for 10ns,

         sel<= "100"; wait for 10ns,

         sel<= "101"; wait for 10ns,

         sel<= "110"; wait for 10ns,

         sel<= "111"; wait for 10ns,

        wait;

end process


END;

In: Electrical Engineering

Explain qualitatively the concept of superdiode. Draw a circuit that implements it and make a quantitative description

 
Explain qualitatively the concept of superdiode. Draw a circuit that implements it and make a quantitative description

In: Electrical Engineering

This is a atmega128 source to make a clock using the timer interrupt. I want to...

This is a atmega128 source to make a clock using the timer interrupt. I want to add source if i press switch (PIND==0x0FE&&PIND==0x0FD) then below program would be running .

#include<io.h>
int position=0,BJT[4]={0xFE,0xFD,0xFB,0xF7};
int number=0,segment[10]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90};
int msec=0, sec=0, min=0;
void main()
{
DDRA=0xFF;
PORTA=0XFF;
DDRB=0xFF;
PORTB=0xFF;
TCCR0=0x04;
TIMSK=0x01;
SREG=0x80;
while(1){}
}
interrupt[TIM0_OVF]void timer0_ovf_isr()
{
TCNT0=0x06;
PORTA=BJT[position];
if(position==0)number=sec%10;
if(position==1)number=sec/10;
if(position==2)number=min%10;
if(postion==3)number=min/10;
PORTB=segment[number];
position++;
if(position>3)position=0;
msec++;
if(msec==1000)
{
msec=0;
sec++;
}
if(sec==60)
{
sec=0;
min++;
}
if(min==60)min=0;
}


In: Electrical Engineering

Design and analyse of a circuit which turns a DC motor at a speed which is...

Design and analyse of a circuit which turns a DC motor at a speed which is proportional to how much a temperature is away from a set temperature, and turns:

Clockwise when temperature is greater than (say) 25 degrees C.

Anticlockwise when the temperature is less than (say) 20 degrees C.

Such a circuit might be used to regulate the temperature of a room by turning a ceiling fan to move warm air in/out of that room (using the roof cavity).

Report with following details

1. A full Block Diagram showing all from Input to Output.

2. Design calculation for each block

3.Full parts list

4. Circuit diagram

In: Electrical Engineering

My projects is to write or find Matlab code for 4FSK(frequency shift keying) with simulation pictures...

My projects is to write or find Matlab code for 4FSK(frequency shift keying) with simulation pictures and create the signal after that add noise to it and finally demodulate it ..

Please help me to do this project.

In: Electrical Engineering

Simplify Q2*= L’(F4+F5+F6+F7) + L +(F’1 F’2 F’3 F’5 F’6 F’7)+L’(F5+F6+F7)+ L’(F4+F3+F2+F1)+L+(F’1 F’2 F’3 F’4 F’6...

Simplify

Q2*= L’(F4+F5+F6+F7) + L +(F1 F2 F3 F5 F6 F7)+L’(F5+F6+F7)+ L’(F4+F3+F2+F1)+L+(F1 F2 F3 F4 F6 F7)+L’(F6+F7)+ L’(F5+F4+F3+F2+F1)+ L+(F1 F2 F3 F4 F5 F7)+L’(F7)+L’(F6+F5+F4+F3+F2+F1)+L+(F1 F2 F3 F4 F5 F6)

           

In: Electrical Engineering

Consider two Images of same dimensions where one image is an original image and other should...

Consider two Images of same dimensions where one image is an original image and other should be a degraded image.   

Write a matlab program to measure picture quality using objective assessment validation criteria for the degraded image and original image. Calculate MSE, PSNR, Normalized absolute error, Maximum Difference, Structural content, Average difference, Normalized cross correlation for the images.

  

Explain the importance of Objective assessment in Image processing

In: Electrical Engineering

Describe how quick changes are adapted and prevented in each method for source statistics? Explain in...

Describe how quick changes are adapted and prevented in each method for source statistics? Explain in elaborate?

In: Electrical Engineering