Question

In: Electrical Engineering

2) Counters a) Design a two-bit counter of value AB which cycles through the following repeating...

2) Counters
a) Design a two-bit counter of value AB which cycles through the following repeating pattern, using each of the following ?ip-?ops. Clearly show your design with all the necessary connections.
AB : 00 ?? 10 ?? 01 ?? 00 ?? 10···
i) two D-FFs ii) two T-FFs iii) two JK-FFs
b) Design a three-bit counter of value ABC which cycles through the following repeating pattern, using each of the following ?ip-?ops. Clearly show your design with all the necessary connections.
ABC : 001 ?? 100 ?? 011 ?? 010 ?? 001 ?? 100···
i) three D-FFs ii) three T-FFs iii) three JK-FFs

Solutions

Expert Solution

JK Flip Flop Truth Table

JK Flip Flop Excitation Table

J

K

Q

Q

Q+

J

K

0

0

Q

0

0

0

X

0

1

0

0

1

1

X

1

0

1

1

0

X

1

1

1

Q’

1

1

X

0

T Flip Flop Truth Table

T Flip Flop Excitation Table

T

Q

Q

Q+

T

0

Q

0

0

0

1

Q’

0

1

1

1

0

1

1

1

0

D Flip Flop Truth Table

D Flip Flop Excitation Table

D

Q

Q

Q+

D

0

0

0

0

0

1

1

0

1

1

1

0

0

1

1

1

2.a

Present State

Next State

T Flip Flop

D Flip Flop

JK Flip Flop

A

B

A+

B+

TA

TB

DA

DB

JA

KA

JB

KB

0

0

1

0

1

0

1

0

1

X

0

X

0

1

0

0

0

1

0

0

0

X

X

1

1

0

0

1

1

1

0

1

X

1

1

X

1

1

X

X

X

X

X

X

X

X

X

X

2.b

Present State

Next State

T Flip Flop

D Flip Flop

JK Flip Flop

A

B

C

A+

B+

C+

TA

TB

TC

DA

DB

DC

JA

KA

JB

KB

JC

KC

0

0

0

X

X

X

X

X

X

X

X

X

X

X

X

X

X

X

0

0

1

1

0

0

1

0

1

1

0

0

1

X

0

X

X

1

0

1

0

0

0

1

0

1

1

0

0

1

0

X

X

1

1

X

0

1

1

0

1

0

0

0

1

0

1

0

0

X

X

0

X

1

1

0

0

0

1

1

1

1

1

0

1

1

X

1

1

X

1

X

1

0

1

X

X

X

X

X

X

X

X

X

X

X

X

X

X

X

1

1

0

X

X

X

X

X

X

X

X

X

X

X

X

X

X

X

1

1

1

X

X

X

X

X

X

X

X

X

X

X

X

X

X

X



Related Solutions

Create a 3-bit counter in verilog that cycles through this sequence, 6,2,4,5,0,7,3,1, with a synchronous rest...
Create a 3-bit counter in verilog that cycles through this sequence, 6,2,4,5,0,7,3,1, with a synchronous rest 4.
You are to design an 4 bit counter that takes as input a clock and a...
You are to design an 4 bit counter that takes as input a clock and a reset signal and outputs a 4-bit count When the clock is asserted and the reset is high, the clock increments. When it increments at 1111,it resets to 0000 Create a schematic diagram of your design using either Xilinx ISE or a drawing tool of your choice or a neatly hand-drawn diagram Create a Verilog module within Xilinx. Verify your design is syntactically correct. Create...
C: Postlab Section: Design Calculation 1. Show the state table of 4-Bit synchronous binary counters. 2....
C: Postlab Section: Design Calculation 1. Show the state table of 4-Bit synchronous binary counters. 2. Derive the transition table for JK flip-flop. 3. Derive the logic equation using Karnaugh Map. 4. Draw the corresponding logic circuit. 5. Draw the timing diagram for the circuit. 6. By observation on the 3-bit and 4-bit counter circuit, you should see a pattern. Apply the pattern to construct a 5-bit counter without going through the 5 steps above.
Using Behavorial VHDL, design a 4-bit up/down counter.
Using Behavorial VHDL, design a 4-bit up/down counter.
How would you design a counter with repeating states? (use whichever flip flop is easiest) I...
How would you design a counter with repeating states? (use whichever flip flop is easiest) I will give "easy" examples 1a) 0-0-1-2-3 1b) 0-0-1-1-2-2-3-0
Design a 4-bit up/down counter which displays its output on the the 7-led segment using the...
Design a 4-bit up/down counter which displays its output on the the 7-led segment using the decoder used in Lab 2. In this lab, you will design a 4-bit up/down counter which displays its output on the 7-segment LED using the decoder that you designed in Lab 2. The 4-bit up/down counter module has 4 inputs, Clk_1Hz, Reset, Pause, and Up; and a 4-bit output Count. If Reset is 1, the counter should reset its count value to zero (0000)....
Please write in multisim: Design 3 bit a synchronous counter to produce the following sequence: 0, 1, 2, 5, 3 then 0.by using...
Please write in multisim: Design 3 bit a synchronous counter to produce the following sequence: 0, 1, 2, 5, 3 then 0.by using J-K Filp Flop.. Please i need in multisim and Step of solution. Thanks!!
Design a 4 bit Counter that displays even numbers when a switch on, and odd when...
Design a 4 bit Counter that displays even numbers when a switch on, and odd when the switch off . 1.by using multisim (explain in details and information of how you do it in multisim) show steps of multisim and which gates numbers you used.
Design a 4 bit Counter that displays even numbers when a switch on, and odd when...
Design a 4 bit Counter that displays even numbers when a switch on, and odd when the switch off by D flip-flop by training borad
(a) Design an FSM (only state diagram and state table) for a 3-bit counter that counts...
(a) Design an FSM (only state diagram and state table) for a 3-bit counter that counts through odd numbers downwards. Assume the reset state to be the lowest value of the counter. Use an active low reset to reset the counter. (b) Write a behavioral VHDL code that implements the FSM. (c) Write a VHDL test bench to test the FSM.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT