Question

In: Electrical Engineering

Design a 5 bit binary counter on logicly?

Design a 5 bit binary counter on logicly?

Solutions

Expert Solution

We can use an asynchronous counter. So we will use 5 JK flip flops with both J and K given logic HIGH. And the flip flop representing Q0, the LSB is given the clock. Now the output from the flip flop Q0 is given as the clock to the flip flop representing Q1 and the output from Q1 is given as the clock to the flip flop representing Q2 and so on.

Initially all flip flops are in the LOW state. So the output is 00000, which is decimal 0.

Now when the first clock pulse comes to the flip flop representing Q0, it turns to 1 as the J and K are HIGH and so it will toggle its output. Now other flip flops will be in the low state as they have not received the clock. So the output will be 00001, which is decimal 1.

When the next clock pulse comes, the flip flop representing Q0 again toggles and becomes LOW. Also the flip flop representing Q1 becomes HIGH as now it gets the clock. This will result in 00010, which is 2.  

This will continue and we get a 5 bit UP counter

The circuit diagram is shown below

The truth table is shown below

The circuit is simulated in NI MULTISIM and found working


Related Solutions

Design a 5-bit binary counter using JK flip flops. Draw the flip-flop circuit diagram, the state...
Design a 5-bit binary counter using JK flip flops. Draw the flip-flop circuit diagram, the state graph, the timing diagram, the truth table (with clk pulse) and the state table (with present and next states).
You are to design an 4 bit counter that takes as input a clock and a...
You are to design an 4 bit counter that takes as input a clock and a reset signal and outputs a 4-bit count When the clock is asserted and the reset is high, the clock increments. When it increments at 1111,it resets to 0000 Create a schematic diagram of your design using either Xilinx ISE or a drawing tool of your choice or a neatly hand-drawn diagram Create a Verilog module within Xilinx. Verify your design is syntactically correct. Create...
Using Behavorial VHDL, design a 4-bit up/down counter.
Using Behavorial VHDL, design a 4-bit up/down counter.
Asychronous Counter Design a counter 5 to 15, FF JK or RS
Asychronous Counter Design a counter 5 to 15, FF JK or RS
Design a transducer to convert a binary string into octal. For example the bit string 001101110...
Design a transducer to convert a binary string into octal. For example the bit string 001101110 should produce 156. Please complete the code to account for the 7 cases of 3 digit binary strings. //Function binaryToOctal takes a char array digits of length 3 //Pre: digits contains 3 binary digits. //Post: function returns the octal number equivalent to the 3 binary digits int binaryToOctal( char digits[], int 3){ int number; if(digits[0]=='0') if (digits[1]=='1') if (digits[2]=='0') return 2;//found "010" else return...
Design a 4 bit Counter that displays even numbers when a switch on, and odd when...
Design a 4 bit Counter that displays even numbers when a switch on, and odd when the switch off . 1.by using multisim (explain in details and information of how you do it in multisim) show steps of multisim and which gates numbers you used.
Design a 4 bit Counter that displays even numbers when a switch on, and odd when...
Design a 4 bit Counter that displays even numbers when a switch on, and odd when the switch off by D flip-flop by training borad
(a) Design an FSM (only state diagram and state table) for a 3-bit counter that counts...
(a) Design an FSM (only state diagram and state table) for a 3-bit counter that counts through odd numbers downwards. Assume the reset state to be the lowest value of the counter. Use an active low reset to reset the counter. (b) Write a behavioral VHDL code that implements the FSM. (c) Write a VHDL test bench to test the FSM.
Design a 4 bit Counter that displays even numbers when a switch on, and odd when...
Design a 4 bit Counter that displays even numbers when a switch on, and odd when the switch off and write a report about it.
Design a 4 bit Counter that displays even numbers when a switch on, and odd when...
Design a 4 bit Counter that displays even numbers when a switch on, and odd when the switch off and write a report about it.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT