Question

In: Electrical Engineering

Design a 4 bit Counter that displays even numbers when a switch on, and odd when...

Design a 4 bit Counter that displays even numbers when a switch on, and odd when the switch off . 1.by using multisim (explain in details and information of how you do it in multisim) show steps of multisim and which gates numbers you used.

Solutions

Expert Solution

INPUT

CURRENT STATE

NEXT STATE

X

Q3

Q2

Q1

Q0

Q3+

Q2+

Q1+

Q0+

0

0

0

0

0

0

0

0

1

0

0

0

0

1

0

0

1

1

0

0

0

1

0

0

0

1

1

0

0

0

1

1

0

1

0

1

0

0

1

0

0

0

1

0

1

0

0

1

0

1

0

1

1

1

0

0

1

1

0

0

1

1

1

0

0

1

1

1

1

0

0

1

0

1

0

0

0

1

0

0

1

0

1

0

0

1

1

0

1

1

0

1

0

1

0

1

0

1

1

0

1

0

1

1

1

1

0

1

0

1

1

0

0

1

1

0

1

0

1

1

0

1

1

1

1

1

0

1

1

1

0

1

1

1

1

0

1

1

1

1

0

0

0

1

1

0

0

0

0

0

0

1

0

1

0

0

0

1

0

0

1

0

1

0

0

1

0

0

1

0

0

1

0

0

1

1

0

1

0

0

1

0

1

0

0

0

1

1

0

1

0

1

0

1

0

1

1

0

1

0

1

1

0

1

0

0

0

1

0

1

1

1

1

0

0

0

1

1

0

0

0

1

0

1

0

1

1

0

0

1

1

0

1

0

1

1

0

1

0

1

1

0

0

1

1

0

1

1

1

1

0

0

1

1

1

0

0

1

1

1

0

1

1

1

0

1

1

1

1

0

1

1

1

1

0

0

0

0

0

1

1

1

1

1

0

0

0

0

Solving using 5 variable K Map

Q0+ = X’

Q1+ = Q1’Q0 + XQ1’+X’Q1Q0’

Q2+ = Q2Q1’+Q2’Q1Q0+X’Q2Q0’+XQ2’Q1

Q3+ = Q3Q2’+Q3Q1’+X’Q3Q0’+Q3’Q2Q1Q0+XQ3’Q2Q1


Related Solutions

Design a 4 bit Counter that displays even numbers when a switch on, and odd when...
Design a 4 bit Counter that displays even numbers when a switch on, and odd when the switch off by D flip-flop by training borad
Design a 4 bit Counter that displays even numbers when a switch on, and odd when...
Design a 4 bit Counter that displays even numbers when a switch on, and odd when the switch off and write a report about it.
Design a 4 bit Counter that displays even numbers when a switch on, and odd when...
Design a 4 bit Counter that displays even numbers when a switch on, and odd when the switch off and write a report about it.
Design a 4-bit up/down counter which displays its output on the the 7-led segment using the...
Design a 4-bit up/down counter which displays its output on the the 7-led segment using the decoder used in Lab 2. In this lab, you will design a 4-bit up/down counter which displays its output on the 7-segment LED using the decoder that you designed in Lab 2. The 4-bit up/down counter module has 4 inputs, Clk_1Hz, Reset, Pause, and Up; and a 4-bit output Count. If Reset is 1, the counter should reset its count value to zero (0000)....
1) You are asked to design 4-bit Odd Number Count-Down BCD Counter making use of ONLY...
1) You are asked to design 4-bit Odd Number Count-Down BCD Counter making use of ONLY Falling Edge JK-flipflop(s) and logic gates. 2) Based on the requirements,write down: (i) state diagram (ii) excitation table (iii) input equations
You are to design an 4 bit counter that takes as input a clock and a...
You are to design an 4 bit counter that takes as input a clock and a reset signal and outputs a 4-bit count When the clock is asserted and the reset is high, the clock increments. When it increments at 1111,it resets to 0000 Create a schematic diagram of your design using either Xilinx ISE or a drawing tool of your choice or a neatly hand-drawn diagram Create a Verilog module within Xilinx. Verify your design is syntactically correct. Create...
Using Behavorial VHDL, design a 4-bit up/down counter.
Using Behavorial VHDL, design a 4-bit up/down counter.
Using JK flipflopDesign a multisim schematic for a 4 bit synchronous counter that counts numbers in...
Using JK flipflopDesign a multisim schematic for a 4 bit synchronous counter that counts numbers in Gray code. 4 bit Gray code is as follows: 0000 0001 0011 0010 0110 0111 0101 0100 1100 1101 1111 1110 1010 1001 1000
design a 4 bit comparator that compares two numbers of 4 bits. the output should be...
design a 4 bit comparator that compares two numbers of 4 bits. the output should be < > or =. however you're only limited to using multiplexers or full adders. any help on how to draw this will be appreciated
Write a program in C++ that generates and displays the first N three digit odd numbers....
Write a program in C++ that generates and displays the first N three digit odd numbers. Whereas the number N is provided by the user.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT