Question

In: Electrical Engineering

Design a four bit down counter with a 7 segment display (hexadecimal digits 0-F) Part 1:...

Design a four bit down counter with a 7 segment display (hexadecimal digits 0-F)

Part 1: Implement a seven segment display for hexadecimal digits (0-F).

Recommended to first try implementing the seven segment displays for each of the hexadecimal digits using switches as inputs.

Part 2: Implement a four bit down counter.

When this component is complete, add the counter and wire the outputs of the JK flip flops to where the switches were once. The last JK flip flop should be wired to what had originally been the switch representing the most significant bit and the first JK flip flop was wired to what had originally been the switch representing the least significant bit. This is necessary for the counter to count in a downward fashion.

Solutions

Expert Solution

Four bit down counter with a 7 segment display (hexadecimal digits 0-F) will have two blocks:

Block 1: Implementation of the seven-segment display with switches as inputs (for which we will use decoders)

Block 2: Implementation of a four-bit down counter using JK flip flops(as mentioned).

BLOCK 1:

BLOCK 2: FOUR BIT DOWN COUNTER

  • The count sequence for the counter will be as shown below:

  • Now, the maximum number of states for a 4 bit counter = (which will be evident from the table below)
  • No. of flip flops required = No. of bits = 4
  • Let's start with the excitation table of a single JK flip flop.

Excitation Table of JK Flip Flop
Qn J K
0 0 0
0 1 1
1 0 1
1 1 0

' ' denotes don't care condition

' Qn ' is the present state

' ' is the next state

Hence, for instance, if we want the output to change from 0 to 1, the inputs to the Flip flop will be J=1, K=

  • The excitation table for the counter can be given as shown below:

The final block


Related Solutions

Design a 4-bit up/down counter which displays its output on the the 7-led segment using the...
Design a 4-bit up/down counter which displays its output on the the 7-led segment using the decoder used in Lab 2. In this lab, you will design a 4-bit up/down counter which displays its output on the 7-segment LED using the decoder that you designed in Lab 2. The 4-bit up/down counter module has 4 inputs, Clk_1Hz, Reset, Pause, and Up; and a 4-bit output Count. If Reset is 1, the counter should reset its count value to zero (0000)....
Using Behavorial VHDL, design a 4-bit up/down counter.
Using Behavorial VHDL, design a 4-bit up/down counter.
Design an up/down counter with four states (0, 1, 2, 3) using clocked JK flip flops....
Design an up/down counter with four states (0, 1, 2, 3) using clocked JK flip flops. A control signal x is used as follows: When x = 0 the machine counts forward (up), when x = 1, backward (down). Simulate using MultiSim and attach a simulation printout. Please address the following: State Table State Diagram Flip Flop Excitation Tables K-Map Simplification and Resulting Diagram MultiSim Simulation
Hexadecimal digits are 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C,...
Hexadecimal digits are 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, and F. How many hexadecimal strings of length twelve have five A’s and five B’s? How many hexadecimal strings of length twelve have at most three E’s? How many hexadecimal strings of length twelve have exactly three A’s and at least two B’s? How many hexadecimal strings of length twelve have exactly two A’s and exactly two B’s, so that the two...
1) You are asked to design 4-bit Odd Number Count-Down BCD Counter making use of ONLY...
1) You are asked to design 4-bit Odd Number Count-Down BCD Counter making use of ONLY Falling Edge JK-flipflop(s) and logic gates. 2) Based on the requirements,write down: (i) state diagram (ii) excitation table (iii) input equations
Create a counter that continuously counts odd numbers backwards (i.e from ‘F’ to ‘0’) and display...
Create a counter that continuously counts odd numbers backwards (i.e from ‘F’ to ‘0’) and display it on 7-sd by using the Verilog code. On this part, you are required to use the clock from the FPGA board. However, the clock frequency is 100 MHz, and it is too fast to be used (10 ?s). Thus, we need to derive a slower clock with a speed of almost 1 s, which the frequency of it is 1 Hz. This process...
Design hexadecimal to 7 segment by doing its truth table, its Boolean expression using karnaugh map...
Design hexadecimal to 7 segment by doing its truth table, its Boolean expression using karnaugh map and then expressing it using logic gates. please this is the second time I post this question, the first time was answered as BCD to 7 segment which is not what the question is
Please write in multisim: Design 3 bit a synchronous counter to produce the following sequence: 0, 1, 2, 5, 3 then 0.by using...
Please write in multisim: Design 3 bit a synchronous counter to produce the following sequence: 0, 1, 2, 5, 3 then 0.by using J-K Filp Flop.. Please i need in multisim and Step of solution. Thanks!!
2. Design a digital circuit, using a decoder, only Nor gates, a 7-segment display, and D...
2. Design a digital circuit, using a decoder, only Nor gates, a 7-segment display, and D Flip Flops, that repeatedly outputs the sequences of characters ‘P’, ‘E’, ‘A’, ‘L’ if x=0 and ‘L’, ‘E’, ‘A’, ‘P’ if x=1 .
Design a synchronous counter of four-bit using D flip‐flops and gates (AND, OR etc.) *use verilog...
Design a synchronous counter of four-bit using D flip‐flops and gates (AND, OR etc.) *use verilog language modules and test and explain briefly
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT