Question

In: Electrical Engineering

Enter two valid BCD numbers. Show the result in seven segment display and LED How to...

Enter two valid BCD numbers. Show the result in seven segment display and LED

How to do this using the components dip switch, Two BCD adders 74ls83, And gates, OR gates, 74 ls47 decoder, 7 segment display and LED

Solutions

Expert Solution

Step1:- Two binary adders(74ls83),AND gates and OR Gates are used to do the BCD addition

  • BCD adder adds two BCD digits and produces output as a BCD digit. A BCD or Binary Coded Decimal digit cannot be greater than 9.

  • The two BCD digits are to be added using the rules of binary addition. If sum is less than or equal to 9 and carry is 0, then no correction is needed. The sum is correct and in true BCD form.

  • But if sum is greater than 9 or carry =1, the result is wrong and correction must be done. The wrong result can be corrected adding six (0110) to it.

  • For implementing a BCD adder using a binary adder circuit IC 7483, additional combinational circuit will be required, where the Sum output S3S2S1S0 is checked for invalid values from 10 to 15. The truth table and K-map for the same is as shown:

  • The Boolean expression is, Y=S3S2+S3S1

  • The BCD adder is shown below. The output of the combinational circuit should be 1 if Cout of adder-1 is high. Therefore Y is ORed with Cout of adder 1.

  • The output of combinational circuit is connected to B1B2 inputs of adder-2 and B3=B1+0 as they are connected to ground permanently. This makes B3B2B1B0 = 0110 if Y' = 1.

  • The sum outputs of adder-1 are applied to A3A2A1A0 of adder-2. The output of combinational circuit is to be used as final output carry and the carry output of adder-2 is to be ignored.

Step2:-Resultant BCD is given to BCD to 7-Segment Decoder (74ls47) and as for the second digit is concerned it will be either one or zero,So a LED is used to represent '1' or '0'


Related Solutions

In a seven-segment LED display, a square pattern can be created by enabling the a, b,...
In a seven-segment LED display, a square pattern can be created by enabling the a, b, f, and g segments or the c, d, e, and g segments. We want to design a circuit that circulates the square patterns in the four-digit seven-segment LED display. The circuit should have an input, en, which enables the circulation, and an input, cw, which specifies the direction (i.e., clockwise or counterclockwise) of the circulation. Write in verilog please.
Add the following BCD numbers, and convert the obtained BCD result into the corresponding decimal, and...
Add the following BCD numbers, and convert the obtained BCD result into the corresponding decimal, and then convert the decimal result into the corresponding binary, then compare the number of bits required for binary with that required for BCD. (1) 0111 + 0101 (2) 1001 + 0111 (3) 01010001 + 01011000 (4) 010101100001 + 011100001000
Seven Segment display is applicable for alphanumeric display both . Suppose you are appointed as an...
Seven Segment display is applicable for alphanumeric display both . Suppose you are appointed as an engineer in company, which deals in same area (Seven Segment display unit) As per customer demand, you need to design a basic logic gate circuit diagram (Combinational circuit), which having output 1 (HIGH) when a 4-bit BCD code translated to a number that uses the upper ngt segment of a single seven segment display unit
create verilog source files for a seven segment display using the software vivado. show your steps.
create verilog source files for a seven segment display using the software vivado. show your steps.
Write VHDL code for the following: Use HEX-to-seven segment display converters to display the inputs and...
Write VHDL code for the following: Use HEX-to-seven segment display converters to display the inputs and results for a 4-bit adder. The inputs are unsigned 4-bit binary numbers. The outcome is a 4-bit binary adder with LED display. First you need to create a symbol for the HEX-to-seven segment display converter. Then implement a 4-bit adder using VHDL. Finally, connect three HEX-to-seven segment display converters to display input X, input Y, and sum S.
Illustrate in detail how to construct a BCD to 7-segment Decoder.
Illustrate in detail how to construct a BCD to 7-segment Decoder.
A) Which of the following sets of quantum numbers are valid (enter V) and which are...
A) Which of the following sets of quantum numbers are valid (enter V) and which are invalid for the known elements? n = 2, l = 3, ml = 0, ms = +½ : B) write the corresponding orbital notation for n = 6, l = 0?
Make a 2 bit binary adder subtractor multiplier on verilog and display it on seven segment...
Make a 2 bit binary adder subtractor multiplier on verilog and display it on seven segment using fpga
Write a verilog code for digital clock and display it’s seven segment using fpga?
Write a verilog code for digital clock and display it’s seven segment using fpga?
Design a digital circuit for output ‘e’ for the seven segment display for all possible inputs....
Design a digital circuit for output ‘e’ for the seven segment display for all possible inputs. Inputs: ABCD a f b g    e c d A) Derive a truth table B) Design using K-Map technique, a minimum AND-OR gate network for this system. Draw the resulting digital circuit diagram
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT