Question

In: Electrical Engineering

Design a digital circuit for output ‘e’ for the seven segment display for all possible inputs....

Design a digital circuit for output ‘e’ for the seven segment display for all possible inputs.
Inputs: ABCD
a
f b
g   
e c
d
A) Derive a truth table
B) Design using K-Map technique, a minimum AND-OR gate network for this system. Draw the resulting digital circuit diagram

Solutions

Expert Solution

A seven segment display is a arrangement of LED in H shape The truth table of a seven segment led is created with combination of inputs for each decimal number and is shown below

input

output

Decimal number

A

B

C

D

a

b

c

d

e

f

g

0

0

0

0

0

x

x

x

x

x

x

1

0

0

0

1

x

x

2

0

0

1

0

x

x

x

x

x

3

0

0

1

1

x

x

x

x

x

4

0

1

0

0

x

x

x

x

5

0

1

0

1

x

x

x

x

x

6

0

1

1

0

x

x

x

x

x

x

7

0

1

1

1

x

x

x

8

1

0

0

0

x

x

x

x

x

x

x

9

1

0

0

1

x

x

x

x

x

Now we find the Boolean expression for each of output function

a=f(A,B,C,D)=m(0,2,3,5,7,8,9)

b=f(A,B,C,D)=m(0,1,2,3,4,7,8,9)

c=f(A,B,C,D)=m(0,1,3,4,5,6,7,8,9)

d=f(A,B,C,D)=m(0,2,3,5,6,8)

e=f(A,B,C,D)=m(0,2,6 8)

f=f(A,B,C,D)=m(0,4,5,6,8,9)

g=f(A,B,C,D)=m(2,3,4,5,6,8,9)

next we draw the K map for each of output and simplify it

Next we draw the circuit using logic gates where A<B<C<D is input and output are a,b,c, d,e,f,g,


Related Solutions

2. Design a digital circuit, using a decoder, only Nor gates, a 7-segment display, and D...
2. Design a digital circuit, using a decoder, only Nor gates, a 7-segment display, and D Flip Flops, that repeatedly outputs the sequences of characters ‘P’, ‘E’, ‘A’, ‘L’ if x=0 and ‘L’, ‘E’, ‘A’, ‘P’ if x=1 .
Write VHDL code for the following: Use HEX-to-seven segment display converters to display the inputs and...
Write VHDL code for the following: Use HEX-to-seven segment display converters to display the inputs and results for a 4-bit adder. The inputs are unsigned 4-bit binary numbers. The outcome is a 4-bit binary adder with LED display. First you need to create a symbol for the HEX-to-seven segment display converter. Then implement a 4-bit adder using VHDL. Finally, connect three HEX-to-seven segment display converters to display input X, input Y, and sum S.
Write a verilog code for digital clock and display it’s seven segment using fpga?
Write a verilog code for digital clock and display it’s seven segment using fpga?
Simulation Project Design a Seven Segment Decoder to decode and display CS and the last 2...
Simulation Project Design a Seven Segment Decoder to decode and display CS and the last 2 numbers of your #900 number (Student ID). Assume the corresponding inputs for the letters and numbers. Example: If your #900 number is 900123456 take last 2 numbers that is 56 and display 'CS56' Inputs Assumptions 00- for C 01-for S 10— Last number (6 in the given example) 11— Last but one number (5 in the given example) Write the Truth Table for the...
1) design a circuit that output is generated based on the control signals Inputs are A1,...
1) design a circuit that output is generated based on the control signals Inputs are A1, A0 and B1, B0 C1 C0 Output 0      0 add 0      1       subtract 1 0 AND 1 1       EXOR 2) Design a 5 by 32 decoder using 3 by 8 or smaller decoders
Seven Segment display is applicable for alphanumeric display both . Suppose you are appointed as an...
Seven Segment display is applicable for alphanumeric display both . Suppose you are appointed as an engineer in company, which deals in same area (Seven Segment display unit) As per customer demand, you need to design a basic logic gate circuit diagram (Combinational circuit), which having output 1 (HIGH) when a 4-bit BCD code translated to a number that uses the upper ngt segment of a single seven segment display unit
Design a sequential circuit with 2 JK flip-flops A and B, and 2 inputs, E...
Design a sequential circuit with 2 JK flip-flops A and B, and 2 inputs, E and x. the design must adhere to the following requirements: If E = 0, the circuit remains in the same state regardless of the value of x.  When E = 1 and x = 1, the circuit goes through the state transitions from 00 to 01 to 10 to 11 back to 00 and repeats. When E = 1 and x = 0, the circuit goes through the...
Please provide a digital design circuit modeled in structural verilog that takes two 5-bit inputs (in...
Please provide a digital design circuit modeled in structural verilog that takes two 5-bit inputs (in 2's complement format), and a add/subtract input. If add/subtract = 0 than the circuits ouputs A+B, If add subtract = 1, the circuit outputs A-B (by taking the 2's compliment of B and than adding). will upvote, thanks
Design a sequential digital circuit with one output that produces “…100110…” bit pattern continuously.( show state...
Design a sequential digital circuit with one output that produces “…100110…” bit pattern continuously.( show state table, state diagram, show circuit diagram and waveform of the circuit) [Digital Systems 2]
2. A sequential circuit has two pulse inputs, x1 and x2. The output of the circuit...
2. A sequential circuit has two pulse inputs, x1 and x2. The output of the circuit becomes 1 whenever the pulse sequence x1x1x2x2x2x1 is detected. The output then remains 1 for all subsequent x1 pulses until an x2 pulse occurs. (a) Derive a minimal state table describing the circuit operation. (Here you need to define the states and then perform state reduction). (b) Synthesize the circuit using SR latches in the master rank. (Here, you need to make state assignment,...
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT