Question

In: Electrical Engineering

I want to make 5 sec counter from 1000Hz input frequency using D or JK flip-flops....

I want to make 5 sec counter from 1000Hz input frequency using D or JK flip-flops. Anyone can help me with logic circuits and excitation table. Also, 5-bit parallel load register with flipflop.

Solutions

Expert Solution

5-bit parallel load register:-

I have used d-flip flops to store the data. If control (c) equal to zero then the parallel data is loaded into the flip-flops and if the c equal to 1, then the data is stored (memory). and we can access the parallel data output at Q0,Q1,Q2,Q3,Q4 .


Related Solutions

Design a 5-bit binary counter using JK flip flops. Draw the flip-flop circuit diagram, the state...
Design a 5-bit binary counter using JK flip flops. Draw the flip-flop circuit diagram, the state graph, the timing diagram, the truth table (with clk pulse) and the state table (with present and next states).
What is a ripple counter? How is it constructed using D flip-flops?
What is a ripple counter? How is it constructed using D flip-flops?
1. (20pts) Design a 3-bit counter that counts from 0000 to 1111 using JK flip/flops. Do...
1. (20pts) Design a 3-bit counter that counts from 0000 to 1111 using JK flip/flops. Do not forget to include the carry to detect overflow.
Design an up/down counter with four states (0, 1, 2, 3) using clocked JK flip flops....
Design an up/down counter with four states (0, 1, 2, 3) using clocked JK flip flops. A control signal x is used as follows: When x = 0 the machine counts forward (up), when x = 1, backward (down). Simulate using MultiSim and attach a simulation printout. Please address the following: State Table State Diagram Flip Flop Excitation Tables K-Map Simplification and Resulting Diagram MultiSim Simulation
Attach a screenshot of Multisim circuit. flip flops: D, T and JK, connect the circuit and...
Attach a screenshot of Multisim circuit. flip flops: D, T and JK, connect the circuit and verify the characteristic tables.
Design a synchronous counter of four-bit using D flip‐flops and gates (AND, OR etc.) *use verilog...
Design a synchronous counter of four-bit using D flip‐flops and gates (AND, OR etc.) *use verilog language modules and test and explain briefly
Create a VHDL code of a 4 bit Counter using D flip flop and a Frequency...
Create a VHDL code of a 4 bit Counter using D flip flop and a Frequency Divider that provides the clock signal input for counter
Design a decoder counter MOD '10' that counts from 0-9 using 4 flip flops and a...
Design a decoder counter MOD '10' that counts from 0-9 using 4 flip flops and a NAND gate.
(a) Design a 4-bit ring counter. Use an external asynchronous INIT input to initialize the flip-flops...
(a) Design a 4-bit ring counter. Use an external asynchronous INIT input to initialize the flip-flops to a valid initial state. Also remember to hook up the CLOCK to all flip-flops. (b) Design a 4-bit Johnson counter. Use an external asynchronous INIT input to initialize the flip-flops to a valid initial state. Also remember to hook up the CLOCK to all flip-flops. (c) How many states does the ring counter in part (a) have? How many states does the Johnson...
Design a Count-up Counter in Aiken code with following flip flops: a) D-FF (Active edge is...
Design a Count-up Counter in Aiken code with following flip flops: a) D-FF (Active edge is high to low) b) SR-FF (Active edge is high to low) c) Use of output of circuit in part (b) and minimum number of logic gates for getting the Countdown counter in Aiken code
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT