Question

In: Computer Science

Use on 4-to-1 MUX to implement the majority function

Use on 4-to-1 MUX to implement the majority function

Solutions

Expert Solution

The majority function states that: The value of the output turns out to be true if more than hald of the values that are input by the user are 1.

The MUX table i) with A,B &C inputs and the output produced which is S is shown below,

A

B

C

S

0

0

0

0

0

0

1

0

0

1

0

0

0

1

1

1

1

0

0

0

1

0

1

1

1

1

0

1

1

1

1

1

Implementation of 4 to 1 is shown below, table ii)

A

B

S

0

0

0

0

1

C

1

0

C

1

1

0

We have made the table above from the first table that we made using 3 inputs, thus if we see then in the Table i) the values of A & B are same i.e 0 & 0 and they are producing 0. So we will club them in the table ii). Similarly the values of A & B are 0 and 1 and they are producing the same output which is the same as the value of C in table i). Hence we will club them in table ii). We will repeat the same process for row 3 & 4 for table ii) and we will get the desired table.

The Mux circuit diagram for the above will be like this:


Related Solutions

1) Implement the given logic function using a 4:1 MUX. F(A,B,C) = Σm(0,1,3,7) Show the truth...
1) Implement the given logic function using a 4:1 MUX. F(A,B,C) = Σm(0,1,3,7) Show the truth table, the 4:1 MUX schematic with the inputs, select inputs and the output. 2) 2) For an 8:3 priority encoder: a) Draw the schematic. b) Write the truth table. c) Write the Boolean expressions for each of the outputs in terms of the inputs. d) Draw the logic circuit for the outputs in terms of the inputs.
Question 1: A Multiplexer (MUX) a) Write truth table and draw symbol for a 4-to-1 MUX....
Question 1: A Multiplexer (MUX) a) Write truth table and draw symbol for a 4-to-1 MUX. (1 mark) b) Write VHDL code for the above multiplexer. (1 mark) c) Write VHDL code for a test bench and simulate the design. (1 mark) d) Implement the design on FPGA, with inputs connected to switches and output to LED. (1 mark)
design full adder using 4:1 mux
design full adder using 4:1 mux
Question #1 a) What is the major difference between a 4:1 MUX (multiplexer) and a 2:4...
Question #1 a) What is the major difference between a 4:1 MUX (multiplexer) and a 2:4 decoder? b) How many binary inputs would be needed for a decoder with 32 mutually-exclusive outputs? Please explain why. c) A data acquisition system has only one analog-to-digital (A/D) converter. You have 12 different analog inputs to select. Which of the following would you choose? 1) 8:1 MUX 2) 4:16 decoder 3) 16:1 MUX 4) 3:8 decoder Please explain why.
1.Write verilog code for a 8:1 Mux using the blocks of 2:1 Mux; Draw the block...
1.Write verilog code for a 8:1 Mux using the blocks of 2:1 Mux; Draw the block diagram for this design and write the truth table to prove that the design works as intended. 2. Write verilog code for a 16:1 Mux using the blocks of 4:1 Mux; Draw the block diagram for this design and write the truth table to prove that the design works as intended.
Minimize the function with K-Map- F(A,B,C,D)= ПM(0,2,4,5,6,7,9,12).d(3,14)    Design full adder with 4:1 MUX gates
Minimize the function with K-Map- F(A,B,C,D)= ПM(0,2,4,5,6,7,9,12).d(3,14)    Design full adder with 4:1 MUX gates
Use Verilog to design and implement a function as  c = c+∑b*ai, i is from 1 to...
Use Verilog to design and implement a function as  c = c+∑b*ai, i is from 1 to 8. Here ai is stored in a SRAM with width as 16 and depth as 8 (8 rows of 16‐bit data), and b is stored in a 16‐bit register. c is initialized as 0.
Design a 2x1 mux and then use as many of them as needed to make a...
Design a 2x1 mux and then use as many of them as needed to make a bigger mux which can be used to compare two 2-bit binary numbers.
/*Use recursion in the function: void getDigit( int num) /* Try this program and implement the...
/*Use recursion in the function: void getDigit( int num) /* Try this program and implement the function void getDigit( intnum) so that it displays the digits in a given number. For example, the number, 1234 consist of 1, 2, 3 and 4. This is an exercise to demonstate the use of a recursive function and the use of recusrion in C++ */ #include #include using namespace std; int main() {      int num;      cout <<"Enter an integer: ";     ...
4. Implement the function read_info_file that consumes a file name (string) as its parameter and returns...
4. Implement the function read_info_file that consumes a file name (string) as its parameter and returns a list of strings - one element for each line in the file. These lines should have all the whitespace removed from both ends of the line. a. See the formatting of the individual_info data file. Consider how a file can be read into the program. In Python language
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT