Questions
Please, faster Please answer the following questions in "Energy Efficiency Basics" multiple choice: السؤال 16 A...

Please, faster
Please answer the following questions in "Energy Efficiency Basics" multiple choice:

السؤال 16
A 20 kW electric roof top A/C unit (RTU) is 10 years old, and has a COP of 2.0 . It operates at full load for 3,000 hours a year. The electric cost is $0.10 per kWh. If this to unit to be replaced with a new 20 kW, what is the minimum value of COP for the new unit to reach an annual savings of $1,500?
3.5
4.0
4.5
Can not be determined

السؤال 18
The refrigerant (R-134a) is the new replacement of the refrigerant (R-22) as it is less harmful to the environment.
True
False

السؤال 19
The Level-1 ASHRAE energy audit is sometimes called the comprehensive audit, detailed audit or technical analysis audit
True
False

In: Electrical Engineering

Can someone run this in vhdl and send me the output? acreenshot library IEEE; use IEEE.STD_LOGIC_1164.ALL;...

Can someone run this in vhdl and send me the output? acreenshot

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity VHDL_MOORE_FSM_Sequence_Detector is
port (
 clock: in std_logic; --- clock signal
 reset: in std_logic; -- reset input
 sequence_in: in std_logic; -- binary sequence input
 detector_out: out std_logic -- output of the VHDL sequence detector
);
end VHDL_MOORE_FSM_Sequence_Detector;

architecture Behavioral of VHDL_MOORE_FSM_Sequence_Detector is
type MOORE_FSM is (Zero, One, OneZero, OneZeroZero, OneZeroZeroOne);
signal current_state, next_state: MOORE_FSM;

begin
-- Sequential memory of the VHDL MOORE FSM Sequence Detector
process(clock,reset)
begin
 if(reset='1') then
  current_state <= Zero;
 elsif(rising_edge(clock)) then
  current_state <= next_state;
 end if;
end process;
-- Next state logic of the VHDL MOORE FSM Sequence Detector
-- Combinational logic
process(current_state,sequence_in)
begin
 case(current_state) is
 when Zero =>
  if(sequence_in='1') then
   -- "1"
   next_state <= One;
  else
   next_state <= Zero;
  end if;
 when One =>
  if(sequence_in='0') then
   -- "10"
   next_state <= OneZero;
  else
   next_state <= One;
  end if;  
 when OneZero => 
  if(sequence_in='0') then
   -- "100"
   next_state <= OneZeroZero;
  else
   next_state <= One;
  end if;  
 when OneZeroZero =>
  if(sequence_in='1') then
   -- "1001"
   next_state <= OneZeroZeroOne;
  else
   next_state <= Zero;
  end if; 
 when OneZeroZeroOne =>
  if(sequence_in='1') then
   next_state <= One;
  else
   next_state <= OneZero;
  end if;
 end case;
end process;
-- Output logic of the VHDL MOORE FSM Sequence Detector
process(current_state)
begin 
 case current_state is
 when Zero =>
  detector_out <= '0';
 when One =>
  detector_out <= '0'; 
 when OneZero => 
  detector_out <= '0'; 
 when OneZeroZero =>
  detector_out <= '0'; 
 when OneZeroZeroOne =>
  detector_out <= '1';
 end case;
end process;
end Behavioral;

TESTBENCH-

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
 
ENTITY tb_VHDL_Moore_FSM_Sequence_Detector IS
END tb_VHDL_Moore_FSM_Sequence_Detector;
 
ARCHITECTURE behavior OF tb_VHDL_Moore_FSM_Sequence_Detector IS 
 
    -- Component Declaration for the Moore FSM Sequence Detector in VHDL
 
    COMPONENT VHDL_MOORE_FSM_Sequence_Detector
    PORT(
         clock : IN  std_logic;
         reset : IN  std_logic;
         sequence_in : IN  std_logic;
         detector_out : OUT  std_logic
        );
    END COMPONENT;
    

   --Inputs
   signal clock : std_logic := '0';
   signal reset : std_logic := '0';
   signal sequence_in : std_logic := '0';

  --Outputs
   signal detector_out : std_logic;

   -- Clock period definitions
   constant clock_period : time := 10 ns;
 
BEGIN
 
 -- Instantiate the Moore FSM Sequence Detector in VHDL
   uut: VHDL_MOORE_FSM_Sequence_Detector PORT MAP (
          clock => clock,
          reset => reset,
          sequence_in => sequence_in,
          detector_out => detector_out
        );

   -- Clock process definitions
   clock_process :process
   begin
  clock <= '0';
  wait for clock_period/2;
  clock <= '1';
  wait for clock_period/2;
   end process;
 

   -- Stimulus process
   stim_proc: process
   begin  
      -- hold reset state for 100 ns.
  sequence_in <= '0';
  reset <= '1';
  -- Wait 100 ns for global reset to finish
  wait for 30 ns;
      reset <= '0';
  wait for 40 ns;
  sequence_in <= '1';
  wait for 10 ns;
  sequence_in <= '0';
  wait for 10 ns;
  sequence_in <= '1'; 
  wait for 20 ns;
  sequence_in <= '0'; 
  wait for 20 ns;
  sequence_in <= '1'; 
  wait for 20 ns;
  sequence_in <= '0'; 
      -- insert stimulus here 
      wait;
   end process;

END;

In: Electrical Engineering

Assuming a characteristic impedance of 75 Ω and a load impedance of 100Ω, find the input...

Assuming a characteristic impedance of 75 Ω and a load impedance of 100Ω, find the input
impedance at λ/2, λ and 2λ. How each input impedance will vary for the case of: i) matched
line; ii) short-circuit line; iii) open circuit line?

In: Electrical Engineering

Draw the circuit diagram of a stand-alone photovoltaic system assisted with batteries and explain the function...

Draw the circuit diagram of a stand-alone photovoltaic system assisted with batteries and explain the function of each stage of the system.

In: Electrical Engineering

write gate level 4bit carry look ahead adder in verilog without any assign and always in...

write gate level 4bit carry look ahead adder in verilog without any assign and always in the code.

In: Electrical Engineering

Why do we need the assumption of AC signal to be a small signal for amplifier...

Why do we need the assumption of AC signal to be a small signal for amplifier analysis?

In: Electrical Engineering

Please, faster Please answer the following questions in "Energy Efficiency Basics" multiple choice: Which knowledge in...

Please, faster
Please answer the following questions in "Energy Efficiency Basics" multiple choice:

Which knowledge in the hands of an industrial consumer is most likely to lead to discovery of the most valuable energy cost savings?
The total energy bill
The energy bill split between sub-divisions of the plant
The energy bill split between end-uses on the plant
Time-based energy consumption profiles per end-use

السؤال 12
A facility has a demand ratchet rate structure of 75%. In the current billing month, the actual metered demand of the facility was 650 kW. During the previous 11 months, the highest peak demand was 940 kW. How much greater than the actual demand will the billed demand be?
15 kW
55 kW
453 kW
650 kW

In: Electrical Engineering

i need a design for a robot to be placed in swimming pools that detects drowning...

i need a design for a robot to be placed in swimming pools that detects drowning , in case drowning is detected it will automatically inflate an airbag to rescue the swimmer

In: Electrical Engineering

Alice and Bob setup an elliptic curve Diffie-Hellman key exchange protocol with thesame field, curveEand pointPas...

Alice and Bob setup an elliptic curve Diffie-Hellman key exchange protocol with thesame field, curveEand pointPas given in Problem 1.Suppose that Alice selected random numbera= 3and Bob selectedb= 4, show the stepsperformed by Alice and Bob to obtain their shared key. What isthe key?

In: Electrical Engineering

The impedance of a three-phase, 50 km long power transmission line per unit length is 0.05...

The impedance of a three-phase, 50 km long power transmission line per unit length is 0.05 + j0.1  / km.
A load with a power coefficient of 0.8 forward is fed from the end of the line. Line and end of line of energy transmission line
According to the intention to keep the voltage between phases constant at 154 kV;
a) Active and reactive power values ​​drawn from the beginning and end of the line,
b) Calculate the lost power spent on the energy transmission line and the efficiency of the line.

In: Electrical Engineering

1) How is the real power controlled in a grid-connected wind turbine employing a permanent-magnet synchronous...

1) How is the real power controlled in a grid-connected wind turbine employing a permanent-magnet synchronous generator (PMSG) with rectifier and inverter? *

rotational speed
inverter output current
generator output voltage
rectifier output voltage
inverter output voltage

2) How do you compare the size of power electronics in a grid-connected 1 MW variable-speed wind turbine employing DFIG and PMSG? *

same
no power electronics in DFIG
no power electronics in PMSG
smaller in PMSG
smaller in DFIG

3)What is the real power flow in a grid-connected wind turbine employing a 4-pole doubly-fed induction generator (DFIG) if the generator rotational speed is 1580 rpm? *

power grid --> stator, rotor --> power grid
power grid --> stator, power grid --> rotor
stator --> power grid, power grid --> rotor
stator --> power grid, rotor --> power grid
stator --> power grid, no rotor power

4) What is the azimuth angle at solar noon in a place located at 40^o N and 30^o E for March 21, 2020? *

-120^o
-90^o
45^o
90^o
0^o

5)What is the altitude angle at sunset in a place located at 40^o N and 30^o E for March 21, 2020? *

30^o
60^o
90^o
0^o
45^o

In: Electrical Engineering

Recommend/ Explain a program which uses an array of 20 integers whose input is taken by...

Recommend/ Explain a program which uses an array of 20 integers whose input is taken by user, the array is passed to a functions named i.e. smallest(int A[20) and largest(int B[20]) to determine minimum and maximum values respectively.

Also create a function named modify(int *p) which modifies the value at the index given by user.

In: Electrical Engineering

Please provide as much details as possible. Show that when two 2-port networks are inter-connected in...

Please provide as much details as possible.

Show that when two 2-port networks are inter-connected in parallel, then the Y-matrix of the resulting network is simply sum of the Y-matrices of the two networks. Extend this concept to two 2-port network in series

In: Electrical Engineering

Question 3 Logic is basically human reasoning that tells us if certain proposition or declarative statement...

Question 3
Logic is basically human reasoning that tells us if certain proposition or declarative statement is true.
(a)There are five boards of directors (kojo, kofi, menash musah, and mawule) of a School. The board of director kojo owns 10% shares, kofi owns 30% shares, mensah owns 20% shares, musah owns 25% shares and mawule 15% shares of the total shares. For the adoption of the particular policy to be passed in the boards meeting more than 66% should vote in favour of the policy. The weightage to the votes depend upon the percentage shares owned by the directors. In the boards room each director has a switch which he turns ON if votes in favour of policy. Design a switching circuit to ring a bell if policy is accepted in the boards meeting. Only the NAND gates should be used to realize the circuit. (CR 7marks)

(b) With relevant logic diagram and truth table explain the working of a two input EX-OR gate.
(EV marks8)

(c) Perform the following subtractions using 2s complement method.
(i) 01000 01001 (ii) 01100 00011 (iii) 0011.1001 0001.1110 (CR 5marks)
(Total 20 marks)

In: Electrical Engineering

Provide a sketch that how to measure both gain and phase margins using Bode diagram. Compare...

Provide a sketch that how to measure both gain and phase margins using Bode diagram. Compare with Nyquist stability region.

In: Electrical Engineering