Questions
A synchronous generator has parameters as shown in table below. 1. Voltage Rating 30 kV, 3-phase...

A synchronous generator has parameters as shown in table below.

1.

Voltage Rating

30 kV, 3-phase

5.

Frequency

50 Hz

2.

Power Rating

50 MVA

6.

Rotor

Round

3.

Synchronous Reactance,

XS

7 Ω /phase

7.

Connection

STAR

4.

Armature resistance, Ra

1.5 Ω /phase

8.

Excitation Type

Permanent Magnet

  1. An inductive load of 30MW at 0.8 power factor at rated terminal voltage is connected to the synchronous generator to an infinite bus. Determine the excitation voltage per phase and its corresponding power angle. Sketch a phasor diagram for this condition.?
  2. With reference to (a), without losing synchronism, what will be the maximum power the generator will be
    able to deliver? Also, determine the armature current at this condition.?
  3. Turbine torque is reduced until generator output is 15MW while excitation is held constant as in (a) above,
    determine the power angle, armature current, and power factor.?
  4. If now the load has changed to a rated power at rated voltage load, with a leading power factor of 0.8,
    determine the percentage voltage regulation of this generator.?

In: Electrical Engineering

Describe the E multiplexing system and compare it to the T system

Describe the E multiplexing system and compare it to the T system

In: Electrical Engineering

A circuit has a 2.9 V battery connected in series with a switch. When the switch...

A circuit has a 2.9 V battery connected in series with a switch. When the switch is closed, the battery powers two paths in parallel, one of which has a resistor of resistance R1 = 80 Ω in series with an inductor of inductance L = 1×10−2 H , while the other has a resistor of resistance R2 = 230 Ω . What is the current supplied by the battery at a time t = 0.25 ms after the switch is closed? What is the current i1 supplied by the battery a time t = 0.25 ms after the switch is closed? Express your answer in amperes to three significant figures.

In: Electrical Engineering

(Please just show the design of the circuit) A printed circuit board manufacturing laboratory needs to...

(Please just show the design of the circuit) 
A printed circuit board manufacturing laboratory needs to have controlled environmental conditions, such as temperature, pressure, and humidity. These variables are measured by means of sensors that deliver an electrical signal which must be conditioned before being sent to a microcontroller for processing.

The challenge is to perform signal conditioning with pressure sensor op amps with part number MPX4250. The conditions that this signal conditioning must meet are the following:

Required work interval:

Pressure 75 to 105 kPa ---> Average conditions for Mexico
Output voltage 0 to 5V ---> With a margin of error of +/- 10%
The sensor signal can be simulated using a voltage source, if the component is not present in the simulation software. This signal conditioning will help the Vo output signal to be controlled by a microcontroller in a next stage of the complete environmental condition monitoring system.

In: Electrical Engineering

How can you match the following dividers to the LV measuring instruments (i) resistive divider (ii)...

How can you match the following dividers to the LV measuring instruments
(i) resistive divider (ii) capacitive divider, (iii) resistive-capacitive divider, and (iv) damped capacitive divider?

In: Electrical Engineering

Answer these questions and submit them before your lab session. Derive a relationship between the voltage...

Answer these questions and submit them before your lab session.

  1. Derive a relationship between the voltage amplitudes Vs, VR, VC, and VL for the RLC circuit. Hint: how is Vs related to the impedance?

  2. A series RLC circuit is driven at 500 Hz by a sine wave generator. It has parameters R = 5kΩ, L = 2H, and C = 2μF. What is the impedance of the circuit?

  3. What is the phase resonance frequency for the circuit in the previous question?

  4. Does this circuit have amplitude resonances? If so, what are their frequencies?

  5. If you use instead a 0.08 μF capacitor, does the circuit have amplitude resonances? If so, what are their frequencies? What is the new phase resonance?

Questions 3- 5 But im sure the info from question 1 and 2 is needed

In: Electrical Engineering

396 v, 60 Hz, 600 hp, 0.6 pf-leading, four-pole triangle connected synchronous motor has 0.9Ω synchronous...

396 v, 60 Hz, 600 hp, 0.6 pf-leading, four-pole triangle connected synchronous motor has 0.9Ω synchronous reactance. Friction; wind; core losses and armature resistance are neglected. a) If the motor is operating under magnitude of phase current (ia) 216 Ampere and power factor of 0.6 lagging, 1. Find the input power of motor in hp ( 2. Find the generated voltage, EA 3. Find the torque and the maximum inducible torque in current state. b) If EA is increased by 18% find, 1. Magnitude of new armature current (ia), ( 2. New power factor of motor. (Please use at least 4 digits after decimal point in your calculations. Otherwise, there may be problems about exact answers.)

In: Electrical Engineering

Given a balanced three-phase delta-connected generator with positive sequence and voltage Vbc=350∠−90∘Vbc=350∠−90∘ Vrms. The generator feeds...

Given a balanced three-phase delta-connected generator with positive sequence and voltage Vbc=350∠−90∘Vbc=350∠−90∘ Vrms. The generator feeds a balanced three-phase delta-connected load having an impedance of 43.5+j27 Ω/phase. The impedance of the line connecting the generator to the load is 1.5+j1 Ω/phase.  i) Calculate the total real power consumed by the load. [5] ii) Calculate the percentage of the total available real power lost in the lines.[3]

  

In: Electrical Engineering

Dynamic logic is considered to be temporary storage compared to static logic; justify the statement. (3

Dynamic logic is considered to be temporary storage compared to static logic; justify the statement. (3

In: Electrical Engineering

typed please..! Q1 : In a process controlled by PID loop, explain what role each of...

typed please..!

Q1 : In a process controlled by PID loop, explain what role each of the below term plays in reference to systems output, set point and error. In no more than 4-5 lines.

Proportional Gain (P):

Integral Gain (I):

Derivative Gain (D):

In: Electrical Engineering

HI can I please know whats wrong in this 2to1 mux code in VHDL code also...

HI can I please know whats wrong in this 2to1 mux code in VHDL code

also please type it out so theres no confusion thank you

-- Code your design here
library IEEE;
use IEEE.std_logic_1164.all;
-- entity declaration for testbench
entity test mux2 is
end test;
--architecture Body declaration for 2to1 mux
-- component declaration of source entity 2to1 mux
component test mux2 is
port (
sel : in std_logic ; --select input,
A : in std_logic ; --data input
B : in std_logic ;--data input
y : out std_logic ); -- mux output
end component;

--internal Signal declarations
singal sel: std_logic;
singal A,B: std_logic;
singal y: std_logic;
begin

--Instantiate Device under test (out) of 2to1 mux
OUT: test mux2
port map (
sel => sel,
A => A,
B => B,
y => y);

--stimulus process
process
begin
sel <= '0'; A <= '0'; B <= '0';
wait for 10 ns;
sel <= '0'; A <= '0'; B <= '1';
wait for 10 ns;
sel <= '0'; A <= '1'; B <= '0';
wait for 10 ns;
sel <= '0'; A <= '1'; B <= '1';
wait for 10 ns;
sel <= '1'; A <= '0'; B <= '0';
wait for 10 ns;
sel <= '1'; A <= '0'; B <= '1';
wait for 10 ns;
sel <= '1'; A <= '1'; B <= '0';
wait for 10 ns;
sel <= '1'; A <= '1'; B <= '1';
wait;
end process;


DESIGN CODE
library IEEE;
use IEEE.std_logic_1164.all;
-- entity declaration for 2-to-1 mux
entity test mux2 is
port (
sel : in std_logic ; --select input,
A : in std_logic ; --data input
B : in std_logic ;--data input
y : out std_logic); -- mux output
end mux2;

--architecture Body declaration for 2to1 mux
architecture Behavioral of test mux2 is
begin
y <= (((not sel) and A) or (sel and B));
end Behavioral;

In: Electrical Engineering

Q1. The major cause of voltage sag is A. Malfunction of primary component B. Equipment Failure...

Q1. The major cause of voltage sag is
A. Malfunction of primary component
B. Equipment Failure
C. Starting of large Induction Machine
D All the above
Q2. What should be the value of sag for proper operation of overhead transmission line?
A. High
B. Low
C Neither too low nor too high
D. Anything
Q3. The harmonic current distortion in adjustable speed drive is __________.
A. Constant
B. Not Constant
C High
D. Low
Q4. Reducing the number of short-circuit faults in a system, reduces
A. Sag
B. Interruptions
C Sag & Interruptions
D. None of the above
Q5. During normal operation, the UPS takes its power from the supply, rectifies the ac voltage to dc and inverts it again to ac with the
A. Same frequency and rms value
B. Different frequency and rms value
C Same frequency and different rms value
D. Different frequency and same rms value

In: Electrical Engineering

Question 6) a) What is the RMS value of an AC signal? In case of sinusoidal...

Question 6)

a) What is the RMS value of an AC signal? In case of sinusoidal signals which relationship exists

between RMS and maximum values? [5 marks]

b) Why AC quantities cannot be added arithmetically as in DC? [5 marks]

Question 7)

Given the following A.C. voltage, v(t) = 80 sin (942t – π/6), state:

(a) angular frequency [3 marks]

(b) Period [3 marks]

(c) phase difference [4 marks]

Question 2)

Using diagrams, state at least three (3) characteristics of lines of magnetic flux

Question 5)

For the network shown in Figure Q5, the switch is closed on to position 1 when t = 0 and then moved

to position 2 when t = 1.5 ms.[10 marks]

a. Simplify the circuit to simple (conventional) charging and discharging inductor circuit

   (without so many resistors). [3 marks]

b. Determine the current in the inductor when t = 1.5 ms. [3 marks]

c. Determine the current in the inductor when t = 2.5 ms. [4 marks

In: Electrical Engineering

I need Background research about PID controller

I need Background research about PID controller

In: Electrical Engineering

Having trouble with the verilog code. Also think my ASM chart may be wrong so some...

Having trouble with the verilog code. Also think my ASM chart may be wrong so some help with that would be great as well thanks. Not sure if the question is linked but its a textbook question. Digital design 6th edition chapter 8 question 10.Link:  https://www.chegg.com/homework-help/Digital-Design-6th-edition-chapter-8-problem-10P-solution-9780134529561

In: Electrical Engineering