Question

In: Electrical Engineering

Design a 4-bit bidirectional serial-in-serial-out shift register using S-R flip flops that trigger on the negative–edge...

Design a 4-bit bidirectional serial-in-serial-out shift register using S-R flip flops that trigger on the negative–edge transition. EXPLAIN its operation if binary input 0101 is applied to the register which initially holds binary data 1101. DRAW the timing-diagram for serial-in operation in right-shift mode only.

Solutions

Expert Solution

In this diagram

when Mode Control = 1, the circuit will behave like Right shift serial in serial out register. When M=1(High). AND Gate no 1, 3, 5 and 7 will allow to pass the data to OR Gate whereas AND Gate no 2, 4, 6 and 8 will give output 0[Low] irresptive of any input. So circuit wll allow to pass the data in the right direction

i.e.

Similarly when M= 0 , the circuit will act as a Left shift register. Because only AND Gate no 2, 4, 6 and 8 will allow the data to pass through OR Gate.

So it will transfer the data as

When initial data is 1101

for M=1

for input = 0101

after 1st clock output will be 1110

after 2nd clock output will be 0111

after 3rd clock output will be 1011

after 4th clock output will be 0101

Similarly

for M=0 (Left Shift)

for input = 0101

after 1st clock output will be 1010

after 2nd clock output will be 0101

after 3rd clock output will be 1010

after 4th clock output will be 0101

Here is the timing diagram


Related Solutions

write a truth table of 74LS164- an 8-Bit Serial In/Parallel Out Shift Register using behavioral style...
write a truth table of 74LS164- an 8-Bit Serial In/Parallel Out Shift Register using behavioral style using VHDL
(7494) Design and implementation of 4 bit shift register using Behavioral style of modeling .... by...
(7494) Design and implementation of 4 bit shift register using Behavioral style of modeling .... by VHDL .. the program should based on the data sheet of 7494 and the truth table ... 13 input and one output D.
Design a 5-bit binary counter using JK flip flops. Draw the flip-flop circuit diagram, the state...
Design a 5-bit binary counter using JK flip flops. Draw the flip-flop circuit diagram, the state graph, the timing diagram, the truth table (with clk pulse) and the state table (with present and next states).
3-(7494) Design and implementation of 4 bit shift register using VHDL Behavioral style of modeling
3-(7494) Design and implementation of 4 bit shift register using VHDL Behavioral style of modeling
write the report about (7494) Design and implementation of 4 bit shift register using Behavioral style...
write the report about (7494) Design and implementation of 4 bit shift register using Behavioral style of modeling 1-truth table 2. VHDL program cods 3. Conclusion Should reflect on what logic is implemented, what modelling style is used to implement the logic. Discuss simulation and board level testing results.
(a) Design a 4-bit ring counter. Use an external asynchronous INIT input to initialize the flip-flops...
(a) Design a 4-bit ring counter. Use an external asynchronous INIT input to initialize the flip-flops to a valid initial state. Also remember to hook up the CLOCK to all flip-flops. (b) Design a 4-bit Johnson counter. Use an external asynchronous INIT input to initialize the flip-flops to a valid initial state. Also remember to hook up the CLOCK to all flip-flops. (c) How many states does the ring counter in part (a) have? How many states does the Johnson...
Design a synchronous counter of four-bit using D flip‐flops and gates (AND, OR etc.) *use verilog...
Design a synchronous counter of four-bit using D flip‐flops and gates (AND, OR etc.) *use verilog language modules and test and explain briefly
Design and explain the difference register 4 bits PIPO(Parallel in/parallel out), PISO(Parallel in/serial out), SIPO(Serial in/parallel...
Design and explain the difference register 4 bits PIPO(Parallel in/parallel out), PISO(Parallel in/serial out), SIPO(Serial in/parallel out), SISO(Serial in/serial out) in JK Flip-Flop
1. (20pts) Design a 3-bit counter that counts from 0000 to 1111 using JK flip/flops. Do...
1. (20pts) Design a 3-bit counter that counts from 0000 to 1111 using JK flip/flops. Do not forget to include the carry to detect overflow.
Explain in detail the differences between 4-Bit Synchronous and Asynchronous Counters. Each Flip-Flop is negative-edge triggered....
Explain in detail the differences between 4-Bit Synchronous and Asynchronous Counters. Each Flip-Flop is negative-edge triggered. Use the relevant block diagrams, Truth Table of state sequence, and Timing Diagram to support your explanation.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT