Question

In: Electrical Engineering

(a) Design a 4-bit ring counter. Use an external asynchronous INIT input to initialize the flip-flops...

(a) Design a 4-bit ring counter. Use an external asynchronous INIT input to initialize the flip-flops to a valid initial state. Also remember to hook up the CLOCK to all flip-flops.

(b) Design a 4-bit Johnson counter. Use an external asynchronous INIT input to initialize the flip-flops to a valid initial state. Also remember to hook up the CLOCK to all flip-flops.

(c) How many states does the ring counter in part (a) have? How many states does the Johnson counter in part (b) have?

(d) Starting at the initial state, show the repeating counting sequence for both the ring counter in part (a) and the Johnson counter in part (b). (That is, show the state of each counter on a cycle-by-cycle basis until it repeats.)

Solutions

Expert Solution

(a)

When INIT is '0' the 1st flip-flop is preset to '1' and the remaining three flip-flops are cleared to '0'. This gives a valid initial state of 1000.

(b)

When INIT is '0' the 1st flip-flop is preset to '1' and the remaining three flip-flops are cleared to '0'. This gives a valid initial state of 1000.

(c) Ring counter in part (a) has four states.

Johnson counter in part (b) has eight states.

(d)

Ring Counter

Cycle

Q1

Q2

Q3

Q4

1

1

0

0

0

2

0

1

0

0

3

0

0

1

0

4

0

0

0

1

5

Repeat

Johnson Counter

Cycle

Q1

Q2

Q3

Q4

1

1

0

0

0

2

1

1

0

0

3

1

1

1

0

4

1

1

1

1

5

0

1

1

1

6

0

0

1

1

7

0

0

0

1

8

0

0

0

0

9

Repeat


Related Solutions

Design a synchronous counter of four-bit using D flip‐flops and gates (AND, OR etc.) *use verilog...
Design a synchronous counter of four-bit using D flip‐flops and gates (AND, OR etc.) *use verilog language modules and test and explain briefly
Design a 5-bit binary counter using JK flip flops. Draw the flip-flop circuit diagram, the state...
Design a 5-bit binary counter using JK flip flops. Draw the flip-flop circuit diagram, the state graph, the timing diagram, the truth table (with clk pulse) and the state table (with present and next states).
You are to design an 4 bit counter that takes as input a clock and a...
You are to design an 4 bit counter that takes as input a clock and a reset signal and outputs a 4-bit count When the clock is asserted and the reset is high, the clock increments. When it increments at 1111,it resets to 0000 Create a schematic diagram of your design using either Xilinx ISE or a drawing tool of your choice or a neatly hand-drawn diagram Create a Verilog module within Xilinx. Verify your design is syntactically correct. Create...
1. (20pts) Design a 3-bit counter that counts from 0000 to 1111 using JK flip/flops. Do...
1. (20pts) Design a 3-bit counter that counts from 0000 to 1111 using JK flip/flops. Do not forget to include the carry to detect overflow.
Design a decoder counter MOD '10' that counts from 0-9 using 4 flip flops and a...
Design a decoder counter MOD '10' that counts from 0-9 using 4 flip flops and a NAND gate.
Design a Count-up Counter in Aiken code with following flip flops: a) D-FF (Active edge is...
Design a Count-up Counter in Aiken code with following flip flops: a) D-FF (Active edge is high to low) b) SR-FF (Active edge is high to low) c) Use of output of circuit in part (b) and minimum number of logic gates for getting the Countdown counter in Aiken code
Design a 4-bit bidirectional serial-in-serial-out shift register using S-R flip flops that trigger on the negative–edge...
Design a 4-bit bidirectional serial-in-serial-out shift register using S-R flip flops that trigger on the negative–edge transition. EXPLAIN its operation if binary input 0101 is applied to the register which initially holds binary data 1101. DRAW the timing-diagram for serial-in operation in right-shift mode only.
I want to make 5 sec counter from 1000Hz input frequency using D or JK flip-flops....
I want to make 5 sec counter from 1000Hz input frequency using D or JK flip-flops. Anyone can help me with logic circuits and excitation table. Also, 5-bit parallel load register with flipflop.
Design a synchronous counter, using T flip-flops, that has the following sequence: 0010, 0110, 1000, 1001,...
Design a synchronous counter, using T flip-flops, that has the following sequence: 0010, 0110, 1000, 1001, 1100, 1101, and repeat. From the undesired states the counter must always go to 0010 on the next clock pulse.
What is a ripple counter? How is it constructed using D flip-flops?
What is a ripple counter? How is it constructed using D flip-flops?
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT