Question

In: Electrical Engineering

Write the VHDL codes for (7494) 4 bit shift register using Behavioral style of modeling. this...

Write the VHDL codes for (7494) 4 bit shift register using Behavioral style of modeling. this is the datasheet for this Quation ( http://www.ralphselectronics.com/productimages/SEMI-SN7494N.PDF )

Solutions

Expert Solution

library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity Shift_Reg is
    port(din : in STD_LOGIC;
        clk : in STD_LOGIC;
        reset : in STD_LOGIC;
        dout : out STD_LOGIC);
end Shift_Reg;
architecture of Shift_Reg is
begin
    siso : process (clk,din,reset) is
    signal s : std_logic_vector(3 downto 0) := "0000" ;
    begin
        if (reset='1') then
            s := "0000";
        else if (rising_edge (clk)) then       
            s := (din & s(3 downto 1));    
            dout <= s(0);
        end if;
    end process siso;   
end Shift_Reg;


Related Solutions

3-(7494) Design and implementation of 4 bit shift register using VHDL Behavioral style of modeling
3-(7494) Design and implementation of 4 bit shift register using VHDL Behavioral style of modeling
(7494) Design and implementation of 4 bit shift register using Behavioral style of modeling .... by...
(7494) Design and implementation of 4 bit shift register using Behavioral style of modeling .... by VHDL .. the program should based on the data sheet of 7494 and the truth table ... 13 input and one output D.
write the report about (7494) Design and implementation of 4 bit shift register using Behavioral style...
write the report about (7494) Design and implementation of 4 bit shift register using Behavioral style of modeling 1-truth table 2. VHDL program cods 3. Conclusion Should reflect on what logic is implemented, what modelling style is used to implement the logic. Discuss simulation and board level testing results.
write a truth table of 74LS164- an 8-Bit Serial In/Parallel Out Shift Register using behavioral style...
write a truth table of 74LS164- an 8-Bit Serial In/Parallel Out Shift Register using behavioral style using VHDL
Design and implementation of 4 bit ALU (74181) using behavioral style of modeling
Design and implementation of 4 bit ALU (74181) using behavioral style of modeling
make 4 bit universal shift register in vhdl plz include the test bench. I will rate
make 4 bit universal shift register in vhdl plz include the test bench. I will rate
Using behavioral VHDL, 32-bit up counter with enable.
Using behavioral VHDL, 32-bit up counter with enable.
write sample code in VHDL Design and implementation of Pressetable ripple counter using behavioral style of...
write sample code in VHDL Design and implementation of Pressetable ripple counter using behavioral style of modeling by using pic74196
Design of 4 Bit Adder/Subtractor using Loops (Behavior Modeling Style) (verilog Code) -
Design of 4 Bit Adder/Subtractor using Loops (Behavior Modeling Style) (verilog Code) -
Write the Verilog code for a 12-bit shift register with ability to shift left or right,...
Write the Verilog code for a 12-bit shift register with ability to shift left or right, synchronized parallel load, asynchronous reset, and serial output? The register is able to load the 12-bit input value (at the positive edge of the clock) if the load signal is one, shift the value in register one bit to the right (at the positive edge of the clock) if the rss signal is one, shift the value in register one bit to the left(at...
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT