Questions
Currently, this model detects the overlapping sequence "101" ----> REDESIGN the Moore FSM below to detect...

Currently, this model detects the overlapping sequence "101" ----> REDESIGN the Moore FSM below to detect the NEW sequence "011" , simulate using the same test bench, and create a Moore Transition Diagram for the new sequence 011.

module moore_seq
(
   input clock, reset, x,
   output reg z
);
//assign binary encoded codes to the states A through D
parameter
   A = 2'b00,
   B = 2'b01,
   C = 2'b10,
   D = 2'b11;
reg [1 : 0] current_state, next_state;

//Section 1: Next state generator (NSG)
always@(*)
begin
   casex (current_state)

   A: if ( x == 1)
       next_state = B;

   else

       next_state = A;

   B: if (x ==1)
       next_state = B;

   else

       next_state = C;

   C: if (x == 1)
       next_state = D;

   else

       next_state = A;

   D: if (x == 1)
       next_state = B;

   else

       next_state = C;

   endcase
end

//Section 2: Output generator
always@(*)
begin
   if (current_state == D)
       z = 1;
   else
       z = 0;
end


//Section 3: The Flip-flops
always@(posedge clock, posedge reset)
begin
   if (reset == 1)
       current_state <= A;

   else

       current_state <= next_state;
   end

endmodule

// This the test bench

`include "moore_seq.v"

module moore_seq_tb();

reg clock, reset, x;

wire z;

moore_seq u1(clock, reset, x, z);


initial begin
$monitor("%4d: z = %b", $time, z);
clock = 0;
reset = 1;
x = 0;
#10 reset = 0;
end


always begin
#5clock = ~clock;
end

initial begin

#10 x = 1; $display("%4d: x = %b", $time, x);
#10 x = 1; $display("%4d: x = %b", $time, x);
#10 x = 1; $display("%4d: x = %b", $time, x);
#10 x = 0; $display("%4d: x = %b", $time, x);
#10 x = 1; $display("%4d: x = %b", $time, x);
#10 x = 0; $display("%4d: x = %b", $time, x);
#10 x = 1; $display("%4d: x = %b", $time, x);
#10 x= 1; $display("%4d: x = %b", $time, x);
#10 x = 0; $display("%4d: x = %b", $time, x);
#10 x= 0; $display("%4d: x = %b", $time, x);
#10 $finish;
end
endmodule

In: Electrical Engineering

MACHINES ONE LAB TEST QUESTIONS. QUESTION 1. (a) Why are the exciters poles in DC machines...

MACHINES ONE LAB TEST QUESTIONS.

QUESTION 1.

(a) Why are the exciters poles in DC machines broad ended?

(b) Why is the armature of a DC machine always made to be the rotor?

(c) Give three main advantages of transformers no load and short-circuit tests. Include circuit diagrams

(d) Explain why the short-circuit test is said to help determine the full-load copper losses. Use elaborate labelled diagram as well as formulas in your explanation.

(e) Explain why Zambia electricity supply corporation limited uses back to back no-load test. Draw the circuit diagram for that arrangement.

(f) A 220V/12V transformer is supplied from a car battery on its secondary side. Explain the behaviour of the machine in terms of:

1. Output voltage, transient and steady

2. Power dissipated and output

3. Usefulness compared to AC input

In: Electrical Engineering

There was basically no electricity 150 years ago. Tesla, Edison and Faraday were experimenting and it...

There was basically no electricity 150 years ago. Tesla, Edison and Faraday were experimenting and it was believed to be dangerous, so no electric anything. They used wood and coal fired brick and iron ovens and fire places. The lighting was oil and gas lamps and candles, hence the saying “Burning the midnight oil.”

I have lived with little (generators and solar power) or no electricity in the deep desert in Saudi Arabia, in the mountains on expeditions and after hurricanes Irene and Maria in the Caribbean.

Today fossil fuels and electricity are the foundation of our civilization.

How will we continue to make electricity in the future?

Any other research examples?

In: Electrical Engineering

Explain how the performance of induction motor can be predicted by circle diagram. Draw the circle...

Explain how the performance of induction motor can be predicted by circle diagram. Draw the circle
diagram for a 3-phase, mesh-connected, 22.38 kW, 500-V, 4-pole, 50-Hz induction motor. The data
below give the measurements of line current, voltage and reading of two wattmeters connected to
measure the input :
No load 500 V 8.3 A 2.85 kW − 1.35 kW
Short circuit 100 V 32 A − 0.75 kW 2.35 kW

In: Electrical Engineering

A three-phase balanced star load No. 1 of (120 +j90) ohms in parallel with another three-phase...

A three-phase balanced star load No. 1 of (120 +j90) ohms in parallel with another three-phase balanced star load No. 2 of (90 +j120) ohms, both are fed by three-phase balanced conductors of 3 ohms resistance and 4 ohms reactance. If the generator is 240 volts, with angle of -150° on phase c and reactance of 1 ohms, determine: a) the current on load N°1 on phase C, b) the terminal voltage of the generator on phase B, c) the voltage on load N°2 on phase A and d) the efficiency of the conductors.

In: Electrical Engineering

. A continuously operating coherent Binary Phase Shift Keying (BPSK) system is made by an engineer....

. A continuously operating coherent Binary Phase Shift Keying (BPSK) system is made by an engineer. He claims that it is having an average error probability of 10-5. The system produced 1000 errors in a day when tested with a data rate of 1000 bits/s and the single-sided noise power spectral density is No=10-10 W/Hz. He also claim that the system is capable of maintaining the error rate even if the received power is as low as 10-6 W. Do you agree with his claims? Give justifications to your answer.

In: Electrical Engineering

what is the relation between the reactive power changes and the voltage changes?

what is the relation between the reactive power changes and the voltage changes?

In: Electrical Engineering

Explain sampling theorem and DTFT? provide an example.

Explain sampling theorem and DTFT? provide an example.

In: Electrical Engineering

calculate capacitance, inductance, resistance and conductance of two parallel wires transmission line

calculate capacitance, inductance, resistance and conductance of two parallel wires transmission line

In: Electrical Engineering

A. Considering the measurement of liquid level, explain with the aid of a diagram the principle...

A. Considering the measurement of liquid level, explain with the aid of a diagram the principle by which the Ultrasonic liquid level gauge operates.


In: Electrical Engineering

Q2.Find the equivalent circuit from no - load and short - circuit test of a 3...

Q2.Find the equivalent circuit from no - load and short - circuit test of a 3 - phase. 14.92 kW, 400 V, 6 - pole induction motor from the following test results (line values): No - load: 400 - V, 11 A, p.f. = 0.2 Short - circuit: 100 - V, 25 A, p.f. = 0.4 Rotor Cu loss at standstill is half the total Cu loss.

In: Electrical Engineering

Suggest a DC motor drive which can cause very fast heating of an automobile? Show circuit...

Suggest a DC motor drive which can cause very fast heating of an automobile? Show circuit
diagram in case of series excited motor. (2). Propose an energy efficient braking control mechanism that
can be used for practical traction systems.(Hint: The supply is partly receptive)

In: Electrical Engineering

In a three-phase circuit the balanced delta load of (36 +j54) ohms is fed by impedance...

In a three-phase circuit the balanced delta load of (36 +j54) ohms is fed by impedance conductors (5 +j70) ohms. If the generator voltage is 120 V angle 0° phase A, calculate a) the bc voltage on the load, b) the powers in the conductors, c) the bc voltage of the delta load and d) the power delta on the load.

In: Electrical Engineering

Write about one page to compile a comparative analysis of STATCOMs and SVC’s for voltage control....

Write about one page to compile a comparative analysis of STATCOMs and SVC’s for voltage control. Narrative shall address:

  1. Range of compensation & size of individual components (limiting factors).
  2. Dynamic performance (response speed).
  3. Harmonic performance.
  4. Price for similar compensation designs.

In: Electrical Engineering

Direct reset MOD 12 synchronous down counter circuit Designed using falling edge trigger SR FF It...

Direct reset MOD 12 synchronous down counter circuit
Designed using falling edge trigger SR FF
It is desirable. A, B, C, and D FFs in the circuit to be designed
It will be used. Here the highest-valued output is considered A
It will be. When this design is done, only SA and RA of A FF
after doing logic functions to be applied to their inputs
then write in the fields below. (Counter circuit design
write the steps in the empty space below. Without a solution
the responses will not be accepted. )

In: Electrical Engineering