In: Electrical Engineering
Kit Requirements:
Lab 3a:
Procedure:
· Watch the videos:
o Tutorial 03 for Arduino: Electrical Engineering Basics(https://www.youtube.com/watch?v=abWCy_aOSwY)
o Tutorial 04 for Arduino: Analog Inputs (https://www.youtube.com/watch?v=js4TK0U848I)
o TechBits 13 - Analog and Digital Signals (https://www.youtube.com/watch?v=Z3rsO912e3I)
· Construct the breadboard circuit and implement the program presented in the video to create an adaptable night light and detailed in Chapter 2 (pp.35-39) of your textbook.
Lab 3b:
Procedure:
This week’s lab will simulate the coffee maker heater functionality we saw in Week 1. The difference in our program and the actual coffee maker is that instead of turning on a heating element, our program will blink an LED.
· Design a circuit and Arduino program that expands the concepts explained in Chapter 3 ( pp. 52- 59) of your textbook and accomplishes the following:
o Blinks an LED when the temperature of a temperature sensor is at or below room temperature for more than 5 seconds
o If the temperature exceeds room temperature for more than 5 seconds, the LED will turn off.
·
In: Electrical Engineering
Explain with the aid of diagrams, the operations involved in converting an analog wave form into a sequence of bits
In: Electrical Engineering
You are given the following components in a Light Sensor Circuit:
Light Dependent Resistor (LDR) |
Bright condition (500 lux) |
Dark Condition (50 lux) |
Resistance values (reference) |
1 kΩ |
5 kΩ |
Light Emitting Diode (LED) |
Turn on Voltage Rating |
Turn on Current Rating |
Characteristics (White) |
4.0 V |
20 mA |
Your goal is to design a light sensor circuit which turns on the LED when it is in a dark room, and turn off the LED when the room is lit. The LDR has a resistance value which changes according to the presence of light in the room, as shown in the first table. The LED turns on when it is given the voltage and current rating in the second table.
In: Electrical Engineering
4. Use generate statement to write VHDL code for a 16 bit adder assuming the only available building block is a full adder. Thank
In: Electrical Engineering
I am trying to create an 8-bit random number generator in verilog code using a mux, a d flip flop and a LFSR not sure what I am doing wrong but need some help with getting it working properly any help would be greatly appreciated.
here is what I have so far:
module RNG #(parameter size=8)(output [7:0]SO,output [7:0]
RN,input clk,rst,input[size-1:0]seed,input L);
wire [7:0] Sin=SO[7]^SO[5]^SO[4]^SO[3];
ffw F1 (SO,clk,rst,Sin);
MUX M1 (Sin,seed,{SO[size-2:0],next},L);
xor X1 (next,SO[6],SO[7]);
assign RN=next;
endmodule
module ffw #(parameter size=8)(output reg [size-1:0]SO,input
clk,rst,input [size-1:0] Sin);
always @(posedge clk or posedge rst)
begin
if(rst==1)
SO=0;
else
SO=Sin;
end
endmodule
// input A1 = Seed, input B0 =Sin ,L=SEL,
module MUX #(parameter
size=8)(output[size-1:0]SO,input[size-1:0]Sin,seed,input L);
assign SO = (L) ? Sin:seed;
endmodule
module RNG_TB();
reg clk;
reg rst,L;
wire [7:0] SO;
reg [7:0] seed;
wire [7:0] RN;
integer x;
RNG RN1 (SO,RN,clk,rst,seed,L);
initial begin
x=0; clk=0; rst=1; L=0; #5;
rst=0; L=0; seed=255; #5;
rst=0; L=1; seed=255; clk=1;
#5
L=0;
repeat (10) begin
clk = ~clk;
#10;
x=x+1;
$display("x=%d,clk=%d,SO=%b",x,clk,SO);
clk = ~clk;
#10;
end
end
endmodule
In: Electrical Engineering
(a) Chau’s electric circuit is a simple electronic circuit that can exhibit chaotic behaviour. The voltages x(t) and y(t), and current z(t), across components in the circuit can be investigated using the Matlab command
[t,xyz] = ode45(@ChuaFunction,[-10 100],[0.7 0.2 0.3]);
and the function:
1 function dxyzdt = ChuaFunction(~,xyz)
2 % xyz(1) = X, xyz(2) = Y, xyz(3) = Z
3 4 dxdt = 15.6*(xyz(2) - xyz(1) + 2*tanh(xyz(1)));
5 dydt = xyz(1) - xyz(2) + xyz(3);
6 dzdt = -28*xyz(2); 7
8 dxyzdt = [dxdt dydt dzdt]’;
9 end
(i) What is the differential equation involving x˙(t)? Here a dot represents differentiation with respect to time t.
(ii) What is the initial condition for the variable y(t)?
(iii) What does the apostrophe after the square brackets in line 8 of the function signify and why is the apostrophe needed here?
(b) For a given function u(t), explain how the derivative of u(t) with respect to t can be approximated on a uniform grid with grid spacing ∆t, using the one-sided forward difference approximation
du/dt ≈ Ui+1 − Ui/ ∆t ,
where ui = u(ti). You should include a suitable diagram explaining your answer
(c) Using the one-sided forward difference approximation from part (b) and Euler’s method, calculate the approximate solution to the initial value problem
du/dt + t cos(u) = 0, subject to u(0) = −0.2,
at t = 0.4, on a uniform grid with spacing ∆t = 0.1.
In: Electrical Engineering
Q2-B) Answer the followings:
I. Identify the addressing mode for Two of the following
instructions:
SBB AX,SI MOV [BX]+1234H,AL XCHG DL,[BP+20H]
II. True or False and why correct the false one:
1- A 20-bit address bus sports 20,000 memory addresses
2-A machine cycle refers to fetch an instruction
3-A memory with 256 addresses has 256 address lines
I want the answer in 45 minutes
In: Electrical Engineering
Please write and solve one question about ideal transformer (parametric). Also, please write and solve one more question about a real transformer (numerical). You can get help from the Electric Machinery. A.E. Fitzgerald book. (Add approximations if any) I'm waiting for two questions with answers to learn transformers in detail.
In: Electrical Engineering
I am in this electrical engineering project course in which an assignment is asking me this and I cannot make heads or tails of what it means by this...
Discuss the tradeoffs involved between using patents and trade secrets to protect intellectual property.
Please be as descriptive as possible,
THANKS
In: Electrical Engineering
considering the measurement of liquid level, explain with the aid of a diagram the principle by which the ultrasonic liquid level gauge operates
In: Electrical Engineering
A resonant loop antenna of closely spaced 8 turns is
operating at 100 MHz. The radius of the loop
is λ/20, and the loop is connected to a 75-Ω transmission line. The
radius of the wire is λ/300, its
conductivity σ = 5.7 × 107 S/m, and the spacing between the turns
is 3λ/250. Find the radiation
efficiency of the antenna, while taking into account the proximity
effects of the turns.
In: Electrical Engineering
V(t)=V0 + g t
H(t)= H0 + V0 t + ½ g t2
The rocket deploys a parachute after 9 seconds and descends at a constant rate of 20 ft/sec. How long does it take to reach the ground?write that by matlab program only
Plot the velocity and the height as functions of time on a single plot. Fully format the figure.
By matlab program please
In: Electrical Engineering
In a 500/250 V d.c. 3-wire system, there is a current of 2000 A on the +ve side, 1600A on the negative side and a load of 300 kW across the outers. The loss in each balancer set is 8 kW. Calculate the current in each armature of the balancer set and total load on the main generator.
In: Electrical Engineering
For each of the statements given below, indicated “True” or “False”.
(a) The address issued by the processor is the address of a location in the cache.
(b) The main memory is larger than the cache.
(c) All data and instructions stored in secondary memory are also stored in main memory.
(d) The cache has a shorter access time than main memory.
(e) Main memory is typically constructed using DRAM technology.
explain why
In: Electrical Engineering