Questions
A three phase 10kW, 1700rpm, 60Hz, four poles, Y connected induction motor has a no-load speed...

A three phase 10kW, 1700rpm, 60Hz, four poles, Y connected induction motor has a no-load speed of 1750rpm. The rotational mechanical losses are constant and equal to 500W. The core losses are constant and equal to 300W. The copper losses in the stator equal to the copper losses in the rotor at full load. If the rotor resistance per phase is 0.25?, calculate the following:
a.The frequency of the induced current in the rotor circuit at full load.
b.The percentage speed regulation of the motor.
c.The efficiency of the motor at full load.
d.The power transferred in the air gap at full load.
e.If the starting torque of the motor is five times the full load torque, calculate the starting currentin the rotor circuit.

In: Electrical Engineering

USE MATLAB. PROVIDE MATLAB CODE FOR CALCULATIONS AND GRAPHS! A salient-pole synchronous generator with saturated synchronous...

USE MATLAB. PROVIDE MATLAB CODE FOR CALCULATIONS AND GRAPHS!

A salient-pole synchronous generator with saturated synchronous reactances Xd = 0.78 per unit and Xq = 0.63 per unit is connected to a rated-voltage infinite bus through an external impedance Xbus = 0.09 per unit.

a) Assuming the generator to be supplying 0.25 per unit rated real power, add a plot of the per-unit armature current as a function of field excitation as the field current is varied in the range for which the per-unit armature current is less than 1.0 per unit. Repeat for generator output powers of 0.50 and 0.75 per unit and add plots on the same axis.

HINT: The final result will be a plot of V-curves for this generator in this configuration.

In: Electrical Engineering

LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Vendingvhdl IS PORT( Clk                            : IN  &

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

ENTITY Vendingvhdl IS

PORT(

Clk                            : IN        STD_LOGIC;

Change                    : OUT    STD_LOGIC_VECTOR(1 downto 0);

Inputs                      : IN        STD_LOGIC_VECTOR(1 downto 0);

output                     : OUT    STD_LOGIC);

END Vendingvhdl;

ARCHITECTURE vending of Vendingvhdl IS

                              TYPE STATE_TYPE IS (empty, fivecent, tencent, ready);

                              SIGNAL current_state, next_state   : STATE_TYPE;

BEGIN

Combinational LOGIC

COMBINE: PROCESS (inputs)

BEGIN

                              CASE current_state IS

                                             When empty =>

                                                            IF inputs = “00” THEN

                                                                                          next_state <= empty;

                                                                                          output <= ‘0’;

                                                                                          change <= “00”;

                                                            ELSEIF inputs = “01” THEN

next_state <= fivecent;

                                                                                          output <= ‘0’;

                                                                                          change <= “00”;

ELSEIF inputs = “10” THEN

next_state <= tencent;

                                                                                          output <= ‘0’;

                                                                                          change <= “00”;

ELSEIF inputs = “11” THEN

next_state <= ready;

                                                                                          output <= ‘1’;

                                                                                          change <= “10”;

                                                            ENDIF;

                                             WHEN fivecent =>

                                                            IF inputs = “00” THEN

next_state <= fivecent;

                                                                                          output <= ‘0’;

                                                                                          change <= “00”;

ELSEIF inputs = “01” THEN

next_state <= tencent;

                                                                                          output <= ‘0’;

                                                                                          change <= “00”;

ELSEIF inputs = “10” THEN

next_state <= ready;

                                                                                          output <= ‘0’;

                                                                                          change <= “00”;

ELSEIF inputs = “11” THEN

next_state <= ready;

                                                                                          output <= ‘1’;

                                                                                          change <= “01”;

                                                                                          change <= “10”;

                                                            ENDIF;

                                             WHEN tencent =>

                                                            IF inputs = “00” THEN

next_state <= tencent;

                                                                                          output <= ‘0’;

                                                                                          change <= “00”;

ELSEIF inputs = “01” THEN

next_state <= ready;

                                                                                          output <= ‘1’;

                                                                                          change <= “00”;

ELSEIF inputs = “10” THEN

next_state <= ready;

                                                                                          output <= ‘1’;

                                                                                          change <= “00”;

ELSEIF inputs = “11” THEN

next_state <= ready;

                                                                                          output <= ‘1’;

                                                                                          change <= “01”;

                                                                                          change <= “10”;

Please complete the following VHDL code...I am implementing a simple vending machine FSM

In: Electrical Engineering

Design a 9’s complement of a Binary Coded Decimal

Design a 9’s complement of a Binary Coded Decimal

In: Electrical Engineering

Draw the schematic and code for an Arduino controlled system using a temperature sensor and fan.

Draw the schematic and code for an Arduino controlled system using a temperature sensor and fan.

In: Electrical Engineering

you are going to research 2 features of the microcontroller that you have selected in week...

you are going to research 2 features of the microcontroller that you have selected in week 1. In a 5 minute power point presentation, with a couple of slides, you will:

Describe the architecture of the microprocessor (memory Sram) selected microcontroller with an emphasis on its multiple registers.

Give a quick description of each supported addressing mode

In: Electrical Engineering

1. Compare the terminal velocity of a 10 nanometer particle with a 100 nanometer particle. Which...

1. Compare the terminal velocity of a 10 nanometer particle with a 100 nanometer particle. Which one “falls” through the air faster? What are the approximate speeds of both particles as they “fall?” Use Equation 2.1 on page 35 in your analysis. Also use Pg = 1.225 Kg/m3 and Pp = 1000 Kg/m3.

2. What is “fume fever?”

3. What are CCNs and how are they affected by nanoparticles?

4. Why is the amount of dimethyl sulfide (DMS) produced by phytoplankton a concern?

5. What are the effects of cosmic rays on Earth’s atmosphere?

In: Electrical Engineering

can a third body create motion in faradays law of Electromagnetic induction

can a third body create motion in faradays law of Electromagnetic induction

In: Electrical Engineering

Write the VHDL codes for (7494) 4 bit shift register using Behavioral style of modeling. this...

Write the VHDL codes for (7494) 4 bit shift register using Behavioral style of modeling. this is the datasheet for this Quation ( http://www.ralphselectronics.com/productimages/SEMI-SN7494N.PDF )

In: Electrical Engineering

Design a Count-up Counter in Aiken code with following flip flops: a) D-FF (Active edge is...

Design a Count-up Counter in Aiken code with following flip flops: a) D-FF (Active edge is high to low) b) SR-FF (Active edge is high to low) c) Use of output of circuit in part (b) and minimum number of logic gates for getting the Countdown counter in Aiken code

In: Electrical Engineering

Create the Decoder module in System Verilog to decode the 4-bit Binary Coded Decimal digit into...

Create the Decoder module in System Verilog to decode the 4-bit Binary Coded Decimal digit into seven-segment code. You can also include and instantiate your decoder schematic from Section 3 instead of writing a new System Verilog module.

In: Electrical Engineering

Create the Parser module in System Verilog to convert the 8-bit Button Board input into four...

Create the Parser module in System Verilog to convert the 8-bit Button Board input into four 4-bit Binary Coded Decimal numbers. The parser should read in an 8-bit Button Board input as an 8-bit number (0-255). Parse that 8-bit number into four 4-bit numbers (0-9) representing its ones, tens, hundreds, and thousands digit.

In: Electrical Engineering

Matlab You will write a function to calculate the determinant of a matrix. It should work...

Matlab

You will write a function to calculate the determinant of a matrix. It should work for any size matrix. Remember that the determinant can be calculated by multiplying the diagonal elements of an upper right triangular matrix. Your function will take a matrix passed to it and put it in upper right triangular form. You will work down the diagonal beginning at row 1 column 1, then row 2 column 2, etc. Note that the row and column numbers are the same on the diagonal. You will put zero in each column below the diagonal elements by making linear combinations of rows. Before you make linear combinations down a column, swap rows (if needed) to put the row with the largest value on the diagonal. Also remember, each time you swap rows, the determinant changes sign so count of how many row swaps are necessary and adjust the sign of the determinant accordingly. A matrix must be square to have a determinant. If the matrix passed to your function is not square, return the text ‘Matrix must be square’.

There are functions included that you MUST use in your function. These will be local functions that follow your main function. You must use ALL the functions. The functions are: RowComp(matrix, row, col) which returns the row with the largest absolute value in the column passed to the function beginning at the row passed to the function; Swap(matrix, row1, row2) which returns a matrix with row1 and row2 exchanged; and LinComb(matrix, row1, row2, alpha) which returns a matrix with row2 replaced by row1*alpha+row2. These functions will make your function much easier to write and debug.

Your function will be checked with a different matrix of a different size. Don’t forget comments and use reasonable variable names.

Example—if the matrix passed to the function is the determinant is 102.

Interim steps in the calculation:

1. Swap row 3 and row 1

     5     2    -2     5

     3     4     7     2

     1     5     9     3

     3     1     7    -3

2. Zeros in column 1

    5.0000    2.0000   -2.0000    5.0000

         0    2.8000    8.2000   -1.0000

         0    4.6000    9.4000    2.0000

         0   -0.2000    8.2000   -6.0000

3. Swap row 2 and row 3

5.0000    2.0000   -2.0000    5.0000

         0    4.6000    9.4000    2.0000

         0    2.8000    8.2000   -1.0000

         0   -0.2000    8.2000   -6.0000

4. Zeros in column 2

5.0000    2.0000   -2.0000    5.0000

         0    4.6000    9.4000    2.0000

         0         0    8.6087   -5.9130

         0         0    2.4783   -2.2174

5. Continue until all rows have been completed and zeros are in all columns below the diagonal.

6. Calculate the determinant by multiplying all the diagonal terms together and adjust the sign of the determinant by the number of row swaps.

In: Electrical Engineering

In this project we will implement the Minesweeper game. Minesweeper is played on a rectangle grid....

In this project we will implement the Minesweeper game. Minesweeper is played on a rectangle grid. When the game starts, a number of bombs are hidden on random positions on the field. In every round, the player "touches" a cell of the field. If the cell contains a bomb, it explodes, the game ends, and the player loses. Otherwise, the cell is uncovered to show the number of bombs in the vicinity, that is, the number of neighboring cells that contain bombs. (Each cell has eight neighbors, including the diagonal neighbors. If the number is zero, the cell is displayed as a blank.) When the user believes she knows the position of a bomb, she can flag that cell with a marker. It is allowed to change one's mind later and uncover a flagged cell. If all cells are either uncovered or flagged, and the number of flags is equal to the number of bombs, then the game ends and the player wins.

The display should be performed in the Terminal. The C code must enforce the rules and prevent the players to choose forbidden options.

Do c programming coding based on this qusetion..

In: Electrical Engineering

This week, your Mechanical and Electrical Systems in Architecture, Engineering, and Construction textbook refers to the...

This week, your Mechanical and Electrical Systems in Architecture, Engineering, and Construction textbook refers to the National Electrical Code (NEC), or NFPA 70, the set of specifications and standards for installing electrical wiring and equipment in the United States. Similarly, the Canadian Electrical Code (CEC), or CE Code, defines the requirements for electrical installation in Canada.

For this week’s Discussion, you will focus on the inspection requirements for electrical systems used in commercial buildings in your community. Begin by researching the electrical inspection requirements for commercial buildings in your locale.

In your response, describe the regulations that inspection agencies in your community have put in place for commercial buildings. How do these regulations differ across various electrical systems?

In: Electrical Engineering