Questions
I need a matlab code for my presentation. Code should include Single Sideband Amplitude Modulation and...

I need a matlab code for my presentation. Code should include Single Sideband Amplitude Modulation and Demodulation. It should figure 3 things:

-time domain of given message signal in Amplitude Modulation and Single Sideband Amplitude Modulation

-frequency domain of given signal in Amplitude Modulation and Single Sideband Amplitude Modulation

-And it should demodulate and show message signal.

In: Electrical Engineering

Solve this Write a C++ class that implements a stack using a linked list. The type...

Solve

this Write a C++ class that implements a stack using a linked list. The type of data contained in the stack should be double. The maximum size of the stack is 30. Implement the following methods: . · Constructor and destructor; // 5 pts · void push (double value); // pushes an element with the value into the stack. 5 pts. · double pop (); // pops an element from the stack and returns its value. 5 pts. · int isEmpty(); // returns 1 if the stack is empty, 0 otherwise. 5 pts. · int numElements(); // returns the number of elements in the stack. 5 pts. · void print Elements(); // print out the current stack to the console. 5 pts. · A main function; // execute each method of your stack (except the destructor) at least once without asking input from the users.

In: Electrical Engineering

Analyze following logic expression representing a digital system, Z = (A+C)(A'+D')(B'+C'+D) Identify the 0-hazards and write...

Analyze following logic expression representing a digital system,
Z = (A+C)(A'+D')(B'+C'+D)
Identify the 0-hazards and write down the logic expression for the implementation of static free
circuit. Note that you would be requiring three additional loops in K-map.

In: Electrical Engineering

please make a research report on this ADC en DAC algorithms (type of algorithms and a...

please make a research report on this

ADC en DAC algorithms (type of algorithms and a brief functional description (few sentences) with pro’s and con’s, at least 3 algorithms))

In: Electrical Engineering

In the light of engineering design processes and problem solving methodology, expand on the following; Definition...

In the light of engineering design processes and problem solving methodology, expand on the following;
Definition of the problem
Gathering of information
Generation of alternative solution
Evaluation of alternatives
Solution of the problem
Communication of the results

In: Electrical Engineering

Please elaborate on how to obtain circular polarization using rectangular microstrip antenna and explain why circular...

Please elaborate on how to obtain circular polarization using rectangular microstrip antenna and explain why circular polarization can be achieved by doing so.

In: Electrical Engineering

define, in any form, what is meant by a kW-hr of energy.  Write up your analysis in...

define, in any form, what is meant by a kW-hr of energy.  Write up your analysis in a professional technical memorandum

In: Electrical Engineering

Hey i am a Electrical Engineering student So as we all know due to this pandemic...

Hey i am a Electrical Engineering student
So as we all know due to this pandemic situation universities are closed.

So we were not able to work on our lab Hardware part so we are trying to cover its software part.
Now lets come to the point i am working on my DIGITAL LOGIC DESIGN lab we are using two softwares in that lab one is XILINX and the other one is PROTEUS.now as the end of the semester is approaching.We have to propose a software based DLD project (basic) for our final lab assesment.
now please suggest me 4 to 5 Software based projects(XILINX & Proteus).
The Design of program must be done on proteus and verilog coding on xilinx.
Kindly let me know if u need any other information

NOTE: You are just asked to give suggestions and kindly give easy suggestions and plz dont give suggestions those are easily avlble on internet

like fire alarm system traffic signal etc

i m asking suggestions from chegg experts just because i need a bit easy and unique project

REGARDS!

In: Electrical Engineering

Using the following VHDL code for an 8 bit adder, make the sum be displayed on...

Using the following VHDL code for an 8 bit adder, make the sum be displayed on the seven segment display of an Elbert V2 Spartan 3A FPGA Board.

VHDL:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity state_bit_adder is

Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
           D : in STD_LOGIC;
           Enable : out STD_LOGIC_vector (2 downto 0);
           input: in std_logic_vector(7 downto 0);
           SUM: out std_logic_vector(8 downto 0));
          
end state_bit_adder;

architecture Behavioral of state_bit_adder is
type statetype is (start, secondstate);
signal state, nextstate: statetype;

signal tempsum1, tempsum: STD_LOGIC_VECTOR (8 downto 0);

begin
Enable <= "110";
process(clk, reset)
begin
if(reset ='0') then
state <= start;
elsif rising_edge(clk) then
state <= nextstate;
end if;
end process;

process(state, input, D)
begin
case (state) is
when start =>
tempsum1 <= ('0'&input);
if (D = '0') then
nextstate <= secondstate;
end if;

when secondstate =>
tempsum <= ('0'&input);
if (D = '0') then
nextstate <= start;
end if;
end case;
end process;

SUM <= tempsum1 + tempsum;

End Behavioral;

Constraint File:

###Clock
NET "clk" LOC = P129 | IOSTANDARD = LVTTL | PERIOD = 12 MHz;
NET "D" CLOCK_DEDICATED_ROUTE = FALSE;
############Input push buttons
NET "reset" LOC = P80 | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = FAST | PULLUP;
NET "D" LOC = P79 | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = FAST | PULLUP;


###########output LED
NET "SUM[7]" LOC = P46 | IOSTANDARD = LVTTL | DRIVE = 8;#| SLEW = FAST;
NET "SUM[6]" LOC = P47 | IOSTANDARD = LVTTL | DRIVE = 8;#| SLEW = FAST;
NET "SUM[5]" LOC = P48 | IOSTANDARD = LVTTL | DRIVE = 8;#| SLEW = FAST;
NET "SUM[4]" LOC = P49 | IOSTANDARD = LVTTL | DRIVE = 8;#| SLEW = FAST;
NET "SUM[3]" LOC = P50 | IOSTANDARD = LVTTL | DRIVE = 8;#| SLEW = FAST;
NET "SUM[2]" LOC = P51 | IOSTANDARD = LVTTL | DRIVE = 8;#| SLEW = FAST;
NET "SUM[1]" LOC = P54 | IOSTANDARD = LVTTL | DRIVE = 8;#| SLEW = FAST;
NET "SUM[0]" LOC = P55 | IOSTANDARD = LVTTL | DRIVE = 8;#| SLEW = FAST;
     
   NET "SUM[8]" LOC = P117 | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 12;
     
   NET "Enable[0]" LOC = P120 | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 12;
   NET "Enable[1]" LOC = P121 | IOSTANDARD = LVTTL | DRIVE = 8;
   NET "Enable[2]" LOC = P124 | IOSTANDARD = LVTTL | DRIVE = 8;

     
     
#####################################################################################################
## DP Switches
#####################################################################################################

NET "input[0]" LOC = P70 | PULLUP | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
NET "input[1]" LOC = P69 | PULLUP | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
NET "input[2]" LOC = P68 | PULLUP | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
NET "input[3]" LOC = P64 | PULLUP | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
NET "input[4]" LOC = P63 | PULLUP | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
NET "input[5]" LOC = P60 | PULLUP | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
NET "input[6]" LOC = P59 | PULLUP | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
NET "input[7]" LOC = P58 | PULLUP | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;

In: Electrical Engineering

Verilog code for Traffic light controller. Need a block diagram, Verilog codes used with testbench, and...

Verilog code for Traffic light controller.

Need a block diagram, Verilog codes used with testbench, and the waveforms screen-prints.

In: Electrical Engineering

a. Signal conditioning circuits are used to process the output signal from a sensor of a...

a. Signal conditioning circuits are used to process the output signal from a sensor of a measurement system to be suitable for the next stage of operation. Discuss any four of these processes. (12Marks)
b. To eliminate short term fluctuation of signals and provide smoother form of signal, low pass filters are use. Using a passive low pass RC filer having R= 500Ω, and C=50mF, draw the frequency response graph using a range of frequencies from ??=0.01rad/s to ??=1000 rad/s.

In: Electrical Engineering

Design a mod 5 counter as a (a) synchronous circuit (b) asynchronous circuit

Design a mod 5 counter as a

(a) synchronous circuit
(b) asynchronous circuit

In: Electrical Engineering

With a PSoC4 in PSoC creator: Using 2 external buttons b0 and b1 to represent ‘0’...

With a PSoC4 in PSoC creator:

Using 2 external buttons b0 and b1 to represent ‘0’ and ‘1’, design a sequence detector using C code in PSoC Creator to detect a pattern “1101”. The board LED lights up once, when the sequence “1101” occurs. Use a serial terminal (UART component and serial terminal emulator application) to display the sequence entered. Use the board button for the sequence detector reset.

In: Electrical Engineering

Determine the value, true or false, of each of the following Boolean expressions, assuming that the...

Determine the value, true or false, of each of the following Boolean expressions,
assuming that the value of the variable count is 0 and the value of the variable limit is
10. Give your answer as one of the values true or false.
a. (count == 0) && (limit < 20)
b. count == 0 && limit < 20
c. (limit > 20) || (count < 5)
d. !(count == 12)
e. (count == 1) && (x < y)
f. (count < 10) || (x < y)
g. !( ((count < 10) || (x < y)) && (count >= 0) )
h. ((limit/count) > 7) || (limit < 20)
i. (limit < 20) || ((limit/count) > 7)
j. ((limit/count) > 7) && (limit < 0)
k. (limit < 0) && ((limit/count) > 7)
l. (5 && 7) + (!6)

Hi teacher this is true false statement please help me out with this thanks

In: Electrical Engineering

   How do I calculate the steady-state pitch angle for the unity step input for the...

  

How do I calculate the steady-state pitch angle for the unity step input for the above system in matlab workspace?

In: Electrical Engineering