Question

In: Computer Science

What type of the combinational circuit is described by the following VHDL process? process (A,B)   ...

What type of the combinational circuit is described by the following VHDL process?
process (A,B)
   begin
   if (A = '0' and B = '1') OR (A='1' AND B='0') then
   Y <= '1';
   else
   Y <= '0';
   end if;
   end process;

1. XOR gate

2. XNOR gate

3. 2-to-1 MUX

4. half adder

Solutions

Expert Solution

VHDL Code

process (A,B)
   begin
   if (A = '0' and B = '1') OR (A='1' AND B='0') then
   Y <= '1';
   else
   Y <= '0';
   end if;
   end process;

From above code we conclude this truth table:

A B Y
0 0 0
0 1 1
1 0 1
1 1 1

1. XOR gate

here is the truth table :

In above VHDL code , when the input A=1 , B=0 the output Y is high i.e 1 and also when the input A=0 , B=1 the output Y is high(1) , similarly in the xor gate truth table same thing happen

2. Xnor Gate

Here the truth table:

The above table doesnot match with VHDL code truth table

3. 2-to-1 MUX

Multiplexer does not behave as as given in VHDL Code , it is used to select input

4. Half adder

Half adder contains two output one is carry and one is sum but in VHDL code only one output is there.

so here is answer of your question:

The above VHDL code descibes XOR Gate circuit .


Related Solutions

In VHDL with Xilinx Vivado, design a PWM circuit as described in the Background and Theory...
In VHDL with Xilinx Vivado, design a PWM circuit as described in the Background and Theory section. The central part will be 0 to 9 counter, with an integrated comparator. VHDL supports < and > as comparisons. You will just need to integrate a PWM output into the counter. For simulation, use a 10 kHz clock to the counter, which will result in a 1 kHz PWM signal. Simulate a 0%, 30%, 70% and 100% duty cycle.
Problem Statement: Design a combinational logic circuit that meets the following specifications: • Input: 3-bit binary...
Problem Statement: Design a combinational logic circuit that meets the following specifications: • Input: 3-bit binary integer (A), 2-bit binary integer (B). • Output: 5-bit binary integer (X) = (AxB). For example, A=6, B=2, X=6x2=12. Notation: • A=(A2,A1,A0) • B=(B1,B0) • X=(X4,X3,X2,X1,X0) Required Output: Show the truth table and a minimal logic expression for each of the outputs. Also, draw a logic diagram using discrete gates. Extra Credit: Redesign by using multiplexers and minimal discrete logic as appropriate. 1 |...
Design a combinational logic circuit that takes a single digit decimal number as its input and...
Design a combinational logic circuit that takes a single digit decimal number as its input and also detects if the input is an odd number. Design a combinational circuit that accepts a 3-bit number and generates an output binary number equal to the square of the input number.
Design a combinational logic circuit that performs the function of Full Subtractor. Draw a neat diagram...
Design a combinational logic circuit that performs the function of Full Subtractor. Draw a neat diagram of the final circuit and verify the design for at least two input samples.
Design a combinational circuit that implements a Binary-to-Grey Code converter. Your input should be a four-bit...
Design a combinational circuit that implements a Binary-to-Grey Code converter. Your input should be a four-bit binary number, and your output should be the equivalent four-bit Grey Code value. First, design the circuit using NAND gates only. Next, design the circuit using a minimal number of 2-input XOR gates.
Describe what the PROCESS statement does in VHDL. How does that lead to us using the...
Describe what the PROCESS statement does in VHDL. How does that lead to us using the many flip-flops that are in the FPGA?
1. Design a combinational circuit that coverts a 4-bit Gray code to a 4-bit Excess-3 code....
1. Design a combinational circuit that coverts a 4-bit Gray code to a 4-bit Excess-3 code. Provide detailed solution and explanation. 2. Design a double edge-triggered D flip-flop using multiplexers only. The output of the flip-flop Q should “sample” the value of the input D on both rising (+ve) and falling (-ve) edges of the clock CLK. Provide detailed solution and explanation. 3. Design an FSM counter that counts the sequence: 00, 11, 01, 10, 00, 11, ... . Provide...
Design B: Using behavioral VHDL, design a Mealy-type finite state machine that detects input test vector...
Design B: Using behavioral VHDL, design a Mealy-type finite state machine that detects input test vector that contains the sequence of ‘10’. If the sequence ‘10’ is detected, the output Z should go high. The input is to be named W, the output is to be named Z, a Clock input is to be used and an active low reset signal (Resetn) should asynchronously reset the machine. a) Draw the Mealy-type state diagram for the FSM. b) Write the VHDL...
What is the process of evaluating the output of a logic circuit that contains a combination...
What is the process of evaluating the output of a logic circuit that contains a combination of various AND,OR,NOT gates? How can you use Multisim to evaluate the output of a combinational logic circuit? How did changing the order of thr gates affect the output?
VHDL Code will not run simulation. What is the problem with my code?? --VHDL Code library...
VHDL Code will not run simulation. What is the problem with my code?? --VHDL Code library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.NUMERIC_STD.ALL; entity DataMemory16Bits is Port ( Address_DM : in STD_LOGIC_VECTOR(15 downto 0); Data_In_DM : in STD_LOGIC_VECTOR(15 downto 0); Clock : in STD_LOGIC; We_DM : in STD_LOGIC; Re_DM : in STD_LOGIC; Data_Out_DM : out STD_LOGIC_VECTOR(15 downto 0)); end DataMemory16Bits; architecture Behavioral of DataMemory16Bits is Type DataMemory16Bits is array(0 to 31) of STD_LOGIC_VECTOR(15 downto 0); signal memory: DataMemory16Bits; begin process...
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT