Question

In: Electrical Engineering

CAN SOMEONE RUN THIS WITH VHDL AND SEND ME THE OUTPUT? LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY...

CAN SOMEONE RUN THIS WITH VHDL AND SEND ME THE OUTPUT?

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY LFSR8 IS
PORT (Clk, Rst: IN std_logic;
output: OUT std_logic_vector (7 DOWNTO 0));
END LFSR8;
ARCHITECTURE LFSR8_beh OF LFSR8 IS
SIGNAL Currstate, Nextstate: std_logic_vector (7 DOWNTO 0);
SIGNAL feedback: std_logic;
BEGIN
StateReg: PROCESS (Clk,Rst)
BEGIN
IF (Rst = '1') THEN
Currstate <= (0 => '1', OTHERS =>'0');
ELSIF (Clk = '1' AND Clk'EVENT) THEN
Currstate <= Nextstate;
END IF;
END PROCESS;
feedback <= Currstate(4) XOR Currstate(3) XOR Currstate(2) XOR Currstate(0);
Nextstate <= feedback & Currstate(7 DOWNTO 1);
output <= Currstate;
END LFSR8_beh;

test bench:

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY Testbench IS
END Testbench;
ARCHITECTURE TBarch OF Testbench IS
COMPONENT LFSR8 IS
PORT (Clk, Rst: IN std_logic;
output: OUT std_logic_vector (7 DOWNTO 0));
END COMPONENT;
SIGNAL Clk_s, Rst_s: std_logic;
SIGNAL output_s: std_logic_vector(7 DOWNTO 0);
BEGIN
CompToTest: LFSR8 PORT MAP (Clk_s, Rst_s, output_s);
Clk_proc: PROCESS
BEGIN
Clk_s <= '1';
WAIT FOR 10 ns;
Clk_s <= '0';
WAIT FOR 10 ns;
END PROCESS clk_proc;
Vector_proc: PROCESS
BEGIN
Rst_s <= '1';
WAIT FOR 5 NS;
Rst_s <= '0';
FOR index IN 0 To 4 LOOP
WAIT UNTIL Clk_s='1' AND Clk_s'EVENT;
END LOOP;
WAIT FOR 5 NS;
ASSERT output_s = X"88" REPORT "Failed output=88";
WAIT;
END PROCESS Vector_proc;
END TBarch;

Solutions

Expert Solution

Vhdl code is simulated and attaching code , simulation waveforms below.

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

ENTITY LFSR8 IS

PORT (Clk, Rst: IN std_logic;

output: OUT std_logic_vector (7 DOWNTO 0));

END LFSR8;

ARCHITECTURE LFSR8_beh OF LFSR8 IS

SIGNAL Currstate, Nextstate: std_logic_vector (7 DOWNTO 0);

SIGNAL feedback: std_logic;

BEGIN

StateReg: PROCESS (Clk,Rst)

BEGIN

IF (Rst = '1') THEN

Currstate <= (0 => '1', OTHERS =>'0');

ELSIF (Clk = '1' AND Clk'EVENT) THEN

Currstate <= Nextstate;

END IF;

END PROCESS;

feedback <= Currstate(4) XOR Currstate(3) XOR Currstate(2) XOR Currstate(0);

Nextstate <= feedback & Currstate(7 DOWNTO 1);

output <= Currstate;

END LFSR8_beh;

-- TESTBENCH

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

ENTITY Testbench IS

END Testbench;

ARCHITECTURE TBarch OF Testbench IS

COMPONENT LFSR8 IS

PORT (Clk, Rst: IN std_logic;

output: OUT std_logic_vector (7 DOWNTO 0));

END COMPONENT;

SIGNAL Clk_s, Rst_s: std_logic;

SIGNAL output_s: std_logic_vector(7 DOWNTO 0);

BEGIN

CompToTest: LFSR8 PORT MAP (Clk_s, Rst_s, output_s);

Clk_proc: PROCESS

BEGIN

Clk_s <= '1';

WAIT FOR 10 ns;

Clk_s <= '0';

WAIT FOR 10 ns;

END PROCESS clk_proc;

Vector_proc: PROCESS

BEGIN

Rst_s <= '1';

WAIT FOR 5 NS;

Rst_s <= '0';

FOR index IN 0 To 4 LOOP

WAIT UNTIL Clk_s='1' AND Clk_s'EVENT;

END LOOP;

WAIT FOR 5 NS;

ASSERT output_s = X"88" REPORT "Failed output=88";

WAIT;

END PROCESS Vector_proc;

END TBarch;

--SIMULATION WAVEFORMS


Related Solutions

Can someone run this in vhdl and send me the output? acreenshot library IEEE; use IEEE.STD_LOGIC_1164.ALL;...
Can someone run this in vhdl and send me the output? acreenshot library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity VHDL_MOORE_FSM_Sequence_Detector is port ( clock: in std_logic; --- clock signal reset: in std_logic; -- reset input sequence_in: in std_logic; -- binary sequence input detector_out: out std_logic -- output of the VHDL sequence detector ); end VHDL_MOORE_FSM_Sequence_Detector; architecture Behavioral of VHDL_MOORE_FSM_Sequence_Detector is type MOORE_FSM is (Zero, One, OneZero, OneZeroZero, OneZeroZeroOne); signal current_state, next_state: MOORE_FSM; begin -- Sequential memory of the VHDL MOORE FSM Sequence...
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Vendingvhdl IS PORT( Clk                            : IN  &
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Vendingvhdl IS PORT( Clk                            : IN        STD_LOGIC; Change                    : OUT    STD_LOGIC_VECTOR(1 downto 0); Inputs                      : IN        STD_LOGIC_VECTOR(1 downto 0); output                     : OUT    STD_LOGIC); END Vendingvhdl; ARCHITECTURE vending of Vendingvhdl IS                               TYPE STATE_TYPE IS (empty, fivecent, tencent, ready);                               SIGNAL current_state, next_state   : STATE_TYPE; BEGIN Combinational LOGIC COMBINE: PROCESS (inputs) BEGIN                               CASE current_state IS                                              When empty =>                                                             IF inputs = “00” THEN                                                                                           next_state <= empty;                                                                                           output <= ‘0’;                                                                                           change...
VHDL Code will not run simulation. What is the problem with my code?? --VHDL Code library...
VHDL Code will not run simulation. What is the problem with my code?? --VHDL Code library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.NUMERIC_STD.ALL; entity DataMemory16Bits is Port ( Address_DM : in STD_LOGIC_VECTOR(15 downto 0); Data_In_DM : in STD_LOGIC_VECTOR(15 downto 0); Clock : in STD_LOGIC; We_DM : in STD_LOGIC; Re_DM : in STD_LOGIC; Data_Out_DM : out STD_LOGIC_VECTOR(15 downto 0)); end DataMemory16Bits; architecture Behavioral of DataMemory16Bits is Type DataMemory16Bits is array(0 to 31) of STD_LOGIC_VECTOR(15 downto 0); signal memory: DataMemory16Bits; begin process...
Simulate this code in ISE Simulator (ISim) and screenshot the simulation library IEEE; use IEEE.STD_LOGIC_1164.ALL; use...
Simulate this code in ISE Simulator (ISim) and screenshot the simulation library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity multiplexer is Port (     din:in STD_LOGIC_VECTOR (7 downto 0);    sel:in STD_LOGIC_VECTOR (2 downto 0);    dout : out STD_LOGIC); end multiplexer; architecture Behavioral of multiplexer is begin     process (din,sel)     begin       case sel is            when "000"=> dout <= din(7);            when "001"=> dout <= din(6);            when "010"=> dout <= din(5);            when "011"=>...
Can someone verify for me that I'm doing this correctly? For data analysis, part b: All...
Can someone verify for me that I'm doing this correctly? For data analysis, part b: All S2O32- is consumed at the end of the reaction. Therefore, the moles of S2O32- consumed can be calculated using the equation below. (moles S2O32-)consumed = Mstock x (Vstock) My instructor states "The stock sodium thiosulfate (S2O3) is 0.01M 0.01M x 0.010 L = 0.0001moles S2O3" So I did the rest of this accordingly (below), and it differs a lot from what's on this website....
Please can someone explain this to me. I am stuck at the last two questions Use...
Please can someone explain this to me. I am stuck at the last two questions Use the following information to calculate your answers to questions 10 through 14. Test scores of 10 individuals before and after a training program are shown below. Note: despite the sample size, assume that the sampling distribution of T+ can still be approximated by a normal distribution. Individual Score After the Program Score Before the Program 1 57 59 2 62 57 3 60 60...
can someone make me a shopping cart for me ? i need to make a shopping...
can someone make me a shopping cart for me ? i need to make a shopping cart ,but i have no idea about how to do this i have made 3 line of items , this is one of the pruduct line line 1 ------------------------------------- <!DOCTYPE html> <html lang="en"> <head> <style> .div1 { border: 2px outset red; background-color: lightblue; text-align: center; } </style> </head> <!-- body --> <body style="background-color:silver; "class="main-layout position_head"> <!-- loader --> </li> </ul> </section> </nav> </section> </header>...
Can someone give me an example an non example of coefficient?
Can someone give me an example an non example of coefficient?
Can someone give me a list of conditions for a market to be efficient
Can someone give me a list of conditions for a market to be efficient
can someone explain to me the problems that can arise in the world of aggregate capacity...
can someone explain to me the problems that can arise in the world of aggregate capacity planning and how we solve it ??? ( I need a length and easy to read answer with examples )
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT