Question

In: Electrical Engineering

synchronous/preset/reset/clear vs. asynchronous preset/reset/clear Please explain the difference and the different in VDHL. thank you.

synchronous/preset/reset/clear vs. asynchronous preset/reset/clear

Please explain the difference and the different in VDHL. thank you.

Solutions

Expert Solution

Asynchronous RESET/PRESET

library ieee;

use ieee.std_logic_1164.all;

entity adder1 is
port
(
clk,reset,enable : in STD_LOGIC;
result : out STD_LOGIC_VECTOR (3 downto 0)
);
end counter_universal;

architecture Behavioral of adder1 is
  
signal add1 : unsigned(3 downto 0);   

--(If reset is 1, the add1 is cleared. so here in asynchronous reset, RESET has highest priority over clock)

-- it will not wait for positive edge or negative edge of clock to reset the signal, once if reset is high it clears the -----------signal no matter whether clock is high or low or rising edge or falling edge

--- if preset is used it sets all the signal to 1 which is again independent of clock   

begin

process(clk, reset) --reset in sensitivity list
begin
   if reset = '1' then ---asynchronous reset
    result <= (others => '0');
       elsif rising_edge(clock) then
add1 <= add1+ 1;
end if;
end process;
result<= std_logic_vector(add1);

end Behavioral;

Synchronous RESET/PRESET

library ieee;

use ieee.std_logic_1164.all;
entity adder1 is
port
(
clk,reset,enable : in STD_LOGIC;
result : out STD_LOGIC_VECTOR (3 downto 0)
);
end counter_universal;

architecture Behavioral of adder1 is
  
signal add1 : unsigned(3 downto 0);   
begin

-----clock has highest priority over RESET or PRESET

----even if reset or preset is 1, the circuit will be cleared to 0 or 1 only @ positive edge or negative edge of clock..

----.if for any edge of the clock, if reset or preset is not high and it becomes high after some time where there is no ------clock edge --------then reset or preset will not have any effect on the circuit.

process(clock)

begin
if rising_edge(clk) then
if (reset = '1') --reet has affect only @ positive edge of clock
add1 <= (others => '0');
  
end if;
end process;


Related Solutions

Please explain clear and different to the answers that are already in other websites. Thank you...
Please explain clear and different to the answers that are already in other websites. Thank you 1. An interesting mutation in lacI results in repressors with 110-fold increased binding to both operator and nonoperator DNA. These repressors display a “reverse” induction curve, allowing β-galactosidase synthesis in the absence of an inducer (IPTG) but partly repressing β-galactosidase expression in the presence of IPTG. How can you explain this? (Note that, when IPTG binds a re- pressor, it does not completely destroy...
Write the VHDL PROCESS statements for a D flip-flop with synchronous active-LOW clear, synchronous active-LOW preset,...
Write the VHDL PROCESS statements for a D flip-flop with synchronous active-LOW clear, synchronous active-LOW preset, and responsive to a rising edge clock. Use D for the input, Q for the output, PRE for the preset, CLR for the clear, and CLK for the clock. All signals are BIT type
(a) What is the difference between synchronous and asynchronous stream ciphers. (b) Who was Horst Feistel?...
(a) What is the difference between synchronous and asynchronous stream ciphers. (b) Who was Horst Feistel? (c) What is the main weakness of affine ciphers? (d) What is the Kerckhoffs’ principle? (e) Suppose a block cipher uses m-to-m bits S-boxes. How many bits are required to store the look-up table of k different such m-to-m bits S-boxes? Give a formula in terms of k and m. Hint: A look-up table for one DES S-box requires 256 bits of storage.
Human Resource Information Management; What is the difference between synchronous and asynchronous training format? What are...
Human Resource Information Management; What is the difference between synchronous and asynchronous training format? What are the key benefits of Web technology in managing the content of knowledge management systems? What service can personalization of knowledge management systems provide? What features of web-based collaboration give it advantages over teleconferencing or videoconferencing? What are the advantages of web-based training/knowledge management?
Please write a different and clear answer not the common ones found on other websites. Thank...
Please write a different and clear answer not the common ones found on other websites. Thank you 1) a. What are all the transversions that can be made starting with the codon CGG? b. Which of these transversions will be missense? Can you be sure? 2) In mismatch repair in E. coli, only a mismatch in the newly synthesized strand is corrected. How is E. coli able to recognize the newly synthesized strand? Why does this ability make biological sense?...
Differential Equations: Please try to computer type, if not possible be clear and organize. Thank you...
Differential Equations: Please try to computer type, if not possible be clear and organize. Thank you so much Use an annihilator to solve the IVP: y’’’ – y ’= 2sinx, y(0)=0 , y’(0)=0 , y”(0)=1
Differential Equations: Please try to computer type, if not possible be clear and organize. Thank you...
Differential Equations: Please try to computer type, if not possible be clear and organize. Thank you so much Find the first 5 nonzero terms of the power series solution, centered at Xo= 0, to the IVP: (1-x)y” + y = 0, y(0)=1, y’(0)=1
Explain in detail the differences between 4-Bit Synchronous and Asynchronous Counters. Each Flip-Flop is negative-edge triggered....
Explain in detail the differences between 4-Bit Synchronous and Asynchronous Counters. Each Flip-Flop is negative-edge triggered. Use the relevant block diagrams, Truth Table of state sequence, and Timing Diagram to support your explanation.
Explain in detail the differences between 4-Bit Synchronous and Asynchronous Counters. Each Flip-Flop is negative-edge triggered....
Explain in detail the differences between 4-Bit Synchronous and Asynchronous Counters. Each Flip-Flop is negative-edge triggered. Use the relevant block diagrams, Truth Table of state sequence, and Timing Diagram to support your explanation.
Please do step by step clear and show eqations used. Thank you A rocket designed to...
Please do step by step clear and show eqations used. Thank you A rocket designed to place satellites into orbit is carried to a cruising altitude. When the plane is flying in a straight line and at a constant speed of 850 km/h, the rocket is dropped. After the drop the plane continues to fly at the same pseed and in a straight line. The rocket falls for a bried time, and then its propulsion starts. Once the propulsion starts...
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT