Questions
Write a Verilog HDL module that has three inputs, A, B, C, and one output, Y,...

Write a Verilog HDL module that has three inputs, A, B, C, and one output, Y, to implement a function that Y output is true if at least two of the inputs are false

Also, write a testbench for the function.

In: Electrical Engineering

A type K thermocouple with a 0°C reference will monitor an oven temperature at about 300°C....

A type K thermocouple with a 0°C reference will monitor an oven temperature at about 300°C. Vout was solved to equal 12.209mV. But part 2 I need help with.

Part 2 asks: Extension wires of 1000ft length and 0.01ohms/ft will be used to connect to the measurement site. Determine the minimum voltage measured input impedance if the error is to be within 0.2%?
The answer given is: 9.98kohms

In: Electrical Engineering

The water surface of the reservoir is at an elevation of 326 m. A penstock with...

The water surface of the reservoir is at an elevation of 326 m. A penstock with a length of 6.4 km supplies water

from the reservoir to a power plant at an elevation of 18m above sea level. If the turbine inlet pressure is 2.4 MPa,

estimate the head losses in the penstock.

In: Electrical Engineering

Tests were carried out on a three-phase 220 V, 50 Hz, 4-pole delta-connected induction motor. The...

Tests were carried out on a three-phase 220 V, 50 Hz, 4-pole delta-connected induction motor. The following results were obtained from open circuit (no-load) and short circuit tests.

            Open Circuit (no-load) Test

Applied Stator Voltage

VLine (V)

Stator Line Current

ILine (A)

Total Input Power

Pin (W)

240

9.6

536

220

7.2

420

200

5.4

352

180

4.3

304

160

3.5

276

140

3.0

248

120

2.5

224

            Short Circuit (locked rotor) Test

Applied Stator Voltage

VLine (V)

Stator Line Current

ILine (A)

Total Input Power

Pin (W)

72

14

674

            A dc resistance test indicates that the stator resistance per phase is 1.165 W.

a)        Estimate the machine’s friction and windage loss and determine the parameters of the full equivalent circuit of the machine.

Using an appropriate form of the machine equivalent circuit:

b)         Calculate the losses in the machine and draw a power flow diagram which illustrates the flow of power through the machine when it runs on-load with a slip of 0.06

c)         Determine the starting torque, and also the torque when running with a slip of 0.06.

In: Electrical Engineering

What is the process of evaluating the output of a logic circuit that contains a combination...

What is the process of evaluating the output of a logic circuit that contains a combination of various AND,OR,NOT gates?

How can you use Multisim to evaluate the output of a combinational logic circuit?

How did changing the order of thr gates affect the output?


In: Electrical Engineering

1. What is the importance of power factor in the supply system ? 2. Why is...

1. What is the importance of power factor in the supply system ?

2. Why is the power factor not more than unity ?

3. What is the effect of low power factor on the generating stations ?

4. Why is unity power factor not the most economical p.f. ?

5. Why a consumer having low power factor is charged at higher rates ?

In: Electrical Engineering

Design a 4-bit up/down counter which displays its output on the the 7-led segment using the...

Design a 4-bit up/down counter which displays its output on the the 7-led segment using the decoder used in Lab 2.

In this lab, you will design a 4-bit up/down counter which displays its output on the 7-segment LED using the decoder that you designed in Lab 2.
The 4-bit up/down counter module has 4 inputs, Clk_1Hz, Reset, Pause, and Up; and a 4-bit output Count. If Reset is 1, the counter should reset its count value to zero (0000). If Reset is 0 and Pause is 1, the counter should pause and continue displaying the current count value. Otherwise, if Up is 1, on every clock cycle the counter should count up by one number. If Up is 0, the counter should count down on every clock cycle.
Upon reaching the minimum (0000) or maximum (1011) count, the counter value should wraparound. For example, when counting up, the counter should wraparound to 0000 after 1011, and when counting down, the counter should wraparound to 1011 after 0000. Reset has priority over Pause, which in turn has priority over counting up or down.
As in Lab 2, the left 8 switches should control which digits are on or off. This time, the rightmost switch will connect to Up; your counter should count up if this switch is up, and down if this switch is down. Connect BTNL to Pause, BTNR to Reset, and BTND to ClkDiv_Reset (the reset input to the ClkDiv module).
Lab Procedure and Demo: 1. Behaviorally design the 4-bit Up/Down Counter to operate as specified in the Lab Overview above. This is a behavioral design, not a structural design, so you may use if/else or case statements or any other Verilog statement that you want in the Counter module. You can read about these statements in chapter 6 of Verilog for Digital Design. You will also need to create your own Counter_Top module. You may modify any of the downloaded files or your own 7-segment display module as desired. 2. Create a testbench to test your design for correct functionality. At a minimum, the testbench should test the following cases: a. Check that counter counts up then down correctly b. Check for correct wraparound functionality for counting up and down c. Check for correct reset behavior from non-one count value d. Check for correct pause behavior e. Check that Reset has priority over Pause Your testbench module does not need to generate Tcl Console outputs; your simulation only needs to generate waveforms for this lab. You do not need to include the ClkDiv1Hz or Counter4_Top modules when you simulate a response with your testbench program. You do need to generate a signal for the clock input to your counter module. 3. Modify “Nexys4DDR_Master.xdc” as in Lab 2 to enable all 16 switches, and all 8 seven-segment displays on the FPGA board. Also, uncomment the two lines under the “## Clock signal” heading, and the lines for BTNL, BTNR, and BTND under the “##Buttons” heading. Synthesize, download and test your design on the Nexys4 FPGA board for correct functionality. At a minimum, you should test the same cases as your testbench. Demonstrate the correct behavior to your instructor. As in Lab 2, it may be easier to do this step before step 2.

In: Electrical Engineering

Explain the benefits of Power Factor correction. List (minimum 3) companies that specialize in this field...

Explain the benefits of Power Factor correction. List (minimum 3) companies that specialize in this field and offer products for power factor correction. Briefly explained their product line.

In: Electrical Engineering

1) A load can be represented as a 1kOhm resistor. This impedance is subsequently connected to...

1) A load can be represented as a 1kOhm resistor. This impedance is subsequently connected to a sinusoidal 120V (rms) 60Hz voltage source. Find the current through the load both in phasor form and in time-domain form. Produce a well-labeled plot showing the voltage, the current and the power.

a) A load can be represented as a 10μF capacitor connected in series with a 1kOhm resistor. This impedance is subsequently connected to a sinusoidal 120V (rms) 60Hz voltage source. Find the current through the load both in phasor form and in timedomain form. Produce a well-labeled plot showing the voltage, the current and the power.

b) Another load can be represented as a 2H inductor connected in series with a 1kOhm resistor. This impedance is subsequently connected to a sinusoidal 120V (rms) 60Hz voltage source. Find the current through the load both in phasor form and in timedomain form. Produce a well-labeled plot showing the voltage, the current and the power.

c) Describe the qualitative differences these three cases. Why did the storage components change the position of the current?

In: Electrical Engineering

If signal x(t) has a maximum frequency (wm1)and signal y(t) has a maximum frequency (wm2)where wm2>wm1,...

If signal x(t) has a maximum frequency (wm1)and signal y(t) has a maximum frequency (wm2)where wm2>wm1, determine the Nyquist rate for each of the following signals:

(a)x(t)+ x(t-1)

(b) dx/dt

c) x(t)y(t)

(d)x(t)*y(t)

In: Electrical Engineering

You are to design an 4 bit counter that takes as input a clock and a...

  1. You are to design an 4 bit counter that takes as input a clock and a reset signal and outputs a 4-bit count When the clock is asserted and the reset is high, the clock increments. When it increments at 1111,it resets to 0000
  2. Create a schematic diagram of your design using either Xilinx ISE or a drawing tool of your choice or a neatly hand-drawn diagram
  3. Create a Verilog module within Xilinx.
  4. Verify your design is syntactically correct.
  5. Create a Test Bench your circuit by creating a Verilog Test Fixture.
  6. Run your circuit in simulation using at least three sets of inputs.

In: Electrical Engineering

Problem 1) Answer the following for an analog input card (catalog # 1756 IF16). Read 1756_Analog_IO_module...

Problem 1)

Answer the following for an analog input card (catalog # 1756 IF16). Read 1756_Analog_IO_module

  • Catalog number of the card?
  • The total number of analog input channels?
  • What is the meaning of single ended and differential analog input?
  • Does the number of channels will change based on the input type? If so, explain how?
  • How many A/D converters this card has?
  • If there is only one converter, then how does more than one analog channel can be used?
  • The total number of digital output bits for a converter?
  • How do you scale the input signal? Does scaling affect the resolution of the card?
  • What are the data formats you can use with this card and explain the differences (in a table)
  • Explain about the limits and alarms?
  • How do you reset the alarms?
  • List out what is the input ranges of voltages can be used for a channel?
  • List out what are the resolution voltages we can get for each input range voltage

In: Electrical Engineering

nin transforms and bases explain the following terms in dsp what is vector transform and what...

nin transforms and bases explain the following terms in dsp

what is vector transform and what is fft

how dft and convolution of two signals related and what property allow to decrease the burden of computation

what is convolution and what is fast convolution

difference beteen dct and dft

In: Electrical Engineering

explain the types of electrothermal instruments

explain the types of electrothermal instruments

In: Electrical Engineering

6.9 A3 ϕ, 11 kV, 60 Hz, 25 MVA, Y-connected cylindrical-rotor synchronous machine generator has Ra...

6.9 A3 ϕ, 11 kV, 60 Hz, 25 MVA, Y-connected cylindrical-rotor synchronous machine generator has Ra = 0.45 Ω per phase and Xs = 4.5 Ω per phase. The generator delivers the rated load at 11 kV and 0.85 lagging power factor. Determine the excitation voltage, Ef, for this operating condition.

6.11 The synchronous machine in Problem 6.9 is operated as a synchronous motor and it is drawing rated MVA at 0.85 lagging power factor. Determine the excitation voltage, Ef, for this operating condition.

In: Electrical Engineering