Question

In: Electrical Engineering

Given a Boolean function: f(a,b,c,d) = m(1,6,7,10,12)+dc(3,4,9,15). i) Design a circuit for implementing f(a,b,c,d) with ONE...

Given a Boolean function: f(a,b,c,d) = m(1,6,7,10,12)+dc(3,4,9,15). i) Design a circuit for implementing f(a,b,c,d) with ONE 4-to-1 MUX and other basic logic gates. USE a and b as select inputs. ii) Draw the circuit. iii) Write the VHDL code for a 4-to-1 MUX, named “mux_4to1”, with input: a, b, c, d, s0, s1; and output: z. iv) Write the complete VHDL code for the above circuit in part (iii), named “Boolean_MUX”.

Solutions

Expert Solution

--4to 1 mux VHDL code
library IEEE;
use IEEE.STD_LOGIC_1164.all;

entity mux_4to1 is
port(

a,b,c,d : in STD_LOGIC;
s0,s1: in STD_LOGIC;
z: out STD_LOGIC
);
end mux_4to1;

architecture behavioral of mux_4to1 is
begin
process (a,b,c,d,s0,s1) is
begin
if (s0 ='0' and s1 = '0') then
z <= a;
elsif (s0 ='1' and s1 = '0') then
z <= b;
elsif (s0 ='0' and s1 = '1') then
z <= c;
else
z <= d;
end if;

end process;
end behavioral;

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

ENTITY boolean_mux IS
port(
a,b,c,d : in STD_LOGIC;
f: out STD_LOGIC
);
END boolean_mux;

ARCHITECTURE behavior OF boolean_mux IS

-- Component Declaration for the Unit Under Test (UUT)

COMPONENT mux_4to1
PORT(
a,b,c,d : in STD_LOGIC;
s0,s1: in STD_LOGIC;
z: out STD_LOGIC
);
END COMPONENT;

--Inputs
signal d0 : std_logic;
signal d1 : std_logic ;
signal d2 : std_logic ;
signal d3 : std_logic ;
  


BEGIN

-- Instantiate the Unit Under Test (UUT)
uut: mux_4to1 PORT MAP (
a => d0,
b => d1,
c => d2,
d => d3,
s0 => a,
s1 => b,
z => f
);

-- Stimulus process
process (a,b,c,d)
begin
d0 <= d ;
d1 <= c or (not d);
d2 <= c xor d;
d3 <= not d2 ;
  

end process;

END;


Related Solutions

To convert the circuit for F(A,B,C,D) = AB'C' + BC'D + AB'D to a 3 level...
To convert the circuit for F(A,B,C,D) = AB'C' + BC'D + AB'D to a 3 level NAND circuit would require: factor AB' from AB'C' + AB'D factor B' from AB'C' + AB'D factor D from BC'D + AB'D factor C' from AB'C' + BC'D none of these
1.            Determine whether the function f from { a, b, c, d } to {a,...
1.            Determine whether the function f from { a, b, c, d } to {a, b, c, d, e} is injective (one-to-one), surjective (onto) and/or bijective (one-to- one correspondence) : f(a) = a,            f(b) = c,            f(c) = b, f(d) = e a. Is this function injective?              . surjective?              . bijective?              . If your answer is no for any of the above, explain:             b. Is there an inverse for this function?              . c. Is the composition f...
Assume that: float a, b, c, d, f; and variables b, c, d, f are initialized....
Assume that: float a, b, c, d, f; and variables b, c, d, f are initialized. Write a line of c++ code that calculates the formula below and stores the result to the variable a:
Find a, b, c, and d such that the cubic function f(x) = ax3 + bx2...
Find a, b, c, and d such that the cubic function f(x) = ax3 + bx2 + cx + d satisfies the given conditions. Relative maximum: (3, 21) Relative minimum: (5, 19) Inflection point: (4, 20)
Find a, b, c, and d such that the cubic function f(x) = ax3 + bx2...
Find a, b, c, and d such that the cubic function f(x) = ax3 + bx2 + cx + d satisfies the given conditions. Relative maximum: (3, 12) Relative minimum: (5, 10) Inflection point: (4, 11) a= b= c= d=
Find a, b, c, and d such that the cubic function f(x) = ax3 + bx2...
Find a, b, c, and d such that the cubic function f(x) = ax3 + bx2 + cx + d satisfies the given conditions. Relative maximum: (3, 9) Relative minimum: (5, 7) Inflection point: (4, 8) a =    b =    c =    d =
Minimize the function with K-Map- F(A,B,C,D)= ПM(0,2,4,5,6,7,9,12).d(3,14)    Design full adder with 4:1 MUX gates
Minimize the function with K-Map- F(A,B,C,D)= ПM(0,2,4,5,6,7,9,12).d(3,14)    Design full adder with 4:1 MUX gates
Consider the cities B,C,D,E,F,G The costs of the possible roads between cities are given below: c(B,F)...
Consider the cities B,C,D,E,F,G The costs of the possible roads between cities are given below: c(B,F) = 11 c(B,G) = 10 c(C,G) = 8 c(D,E) = 12 c(D,F) = 13 c(E,F) = 9 c(E,G ) = 7 What is the minimum cost to build a road system that connects all the cities?
Please question on DATABASE Function dependencey: i) S(A, B, C, D) with FD’s A --> B,...
Please question on DATABASE Function dependencey: i) S(A, B, C, D) with FD’s A --> B, B --> C, and B --> D. ii) T(A, B, C, D) with FD’s AB --> C, BC --> D, CD --> A, and AD --> B.
Use Verilog to design and implement a function as  c = c+∑b*ai, i is from 1 to...
Use Verilog to design and implement a function as  c = c+∑b*ai, i is from 1 to 8. Here ai is stored in a SRAM with width as 16 and depth as 8 (8 rows of 16‐bit data), and b is stored in a 16‐bit register. c is initialized as 0.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT