Question

In: Electrical Engineering

i have to be able to teach and explain by presentation " designing a synchronous counter...

i have to be able to teach and explain by presentation " designing a synchronous counter in VHDL" thank you, please layman terms

Solutions

Expert Solution

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity down_count is

    Port ( clk,rst : in  STD_LOGIC;

           count : out  STD_LOGIC_VECTOR (3 downto 0));

end down_count;

architecture Behavioral of up_count is

signal temp:std_logic_vector(3 downto 0);

begin

process(clk,rst)

begin
if(rst='1')then

temp<="1111";
elsif(rising_edge(clk))then

temp<=temp-1;

end if;

end process;

count<=temp;

end Behavioral;

3-bit synchronous up-down counter. Notice the multiplexers.

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity updown_count is

    Port ( clk,rst,updown : in  STD_LOGIC;

           count : out  STD_LOGIC_VECTOR (3 downto 0));

end updown_count;

architecture Behavioral of up_count is

signal temp:std_logic_vector(3 downto 0);

begin

process(clk,rst)

begin

if(rst='1')then

temp<="0000";

elsif(rising_edge(clk))then

if(updown='1')then

temp<=temp+1;

else

temp<=temp-1;

end if;

end if;

end process;

count<=temp;

end Behavioral;

Related Solutions

I have to be able to teach and explain by presentation on "designing an asynchronous counter...
I have to be able to teach and explain by presentation on "designing an asynchronous counter in VHDL" thank you! please layman terms.
give some examples(based on questions) for skipping state synchronous counter and explain the types of synchronous...
give some examples(based on questions) for skipping state synchronous counter and explain the types of synchronous counter.
Synchronous Counter Design a counter with MOD-5 (0-3-4-1-6) and explain its operation.
Synchronous Counter Design a counter with MOD-5 (0-3-4-1-6) and explain its operation.
I have to do a presentation where I explain and compare the ratio analysis of the...
I have to do a presentation where I explain and compare the ratio analysis of the IBERDROLA company of years 2015 and 2016, and say at the end if I would like to invest in such a company/business. And comment alittle bit on accounting policies cash flow statement BS IS and notes. The link of this is bellow: https://www.iberdrola.com/wcorp/gc/prod/en_US/corporativos/docs/FinancialStatements_AuditorsReport_Consolidated16.pdf at this link you find everything, also the financial statements, or you can google it
Be able to read a graphical presentation of the investment function and explain the effect on...
Be able to read a graphical presentation of the investment function and explain the effect on real disposable income of an autonomous change in investment spending.
what the propagation delay can be on a synchronous and an asynchronous counter that needs to...
what the propagation delay can be on a synchronous and an asynchronous counter that needs to run at 200MHz? Show me how you would calculate this.
Design a mod 5 counter as a (a) synchronous circuit (b) asynchronous circuit
Design a mod 5 counter as a (a) synchronous circuit (b) asynchronous circuit
1. design a mod-16 ripple down counter using positive triggered 2. design a synchronous counter to...
1. design a mod-16 ripple down counter using positive triggered 2. design a synchronous counter to count in the following sequence : 15, 9,, 11, 5, 2, 13, 1
A)  Design 0?379 count?up counter with BCD counter blocks if input clear signal is synchronous. B) Design...
A)  Design 0?379 count?up counter with BCD counter blocks if input clear signal is synchronous. B) Design 0?379 count?up counter with BCD counter blocks if input clear signal is Asynchronous. C) Design of 1/577 frequency divider with BCD count?up counters (Clear signal is Asynchronous)
This question concerns a synchronous sequential counter, which counts an arbitrary sequence. The properties of the...
This question concerns a synchronous sequential counter, which counts an arbitrary sequence. The properties of the counter include the following: 1. The counter has two inputs, input X and CLK (Clock), and three outputs, A, B and C. A is the most significant digit in the counting value, and C is the least significant digit. 2. The counter counts under a POSITIVE clock edge. 3. When X is 0, the counting sequence is: 1, 6, 3, 2, 5, 1, 6,...
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT