Questions
List and describe the steps in the power-on procedure

List and describe the steps in the power-on procedure

In: Electrical Engineering

You recently shifted in a house, and you found three appliances, A of 900 W, B...

You recently shifted in a house, and you found three appliances, A of 900 W, B of 1300 W, and C of 750W, in the kitchen connected to a supply of 240 volts and a circuit breaker of 7.5 A current. Which appliances can be used simultaneously?

a = 1, b = 3 , c= 9

Need answer quick

thanks

In: Electrical Engineering

How do you connect a relay switch to a servo motor to turn it on/off? (Schematic)

How do you connect a relay switch to a servo motor to turn it on/off? (Schematic)

In: Electrical Engineering

(CO 3) If an event is captured at a reading of 0x52BA, what will the counter...

(CO 3) If an event is captured at a reading of 0x52BA, what will the counter value be if the next event occurs after 1 ms? The E-clock is 12 MHz.

Group of answer choices

a) 0xD06C

b) 0x72AC

c) 0x819A

d) 0xF020

In: Electrical Engineering

3. Use Matlab to find the partial fraction expansion of the functions below. a) F(s)=16(s+2)/((s+4)(s2+6s+9)) show...

3. Use Matlab to find the partial fraction expansion of the functions below.

a) F(s)=16(s+2)/((s+4)(s2+6s+9))

show your Matlab commands and answers in the space below

b) F(s)=(s2+2s+2)/((s+1)2(s+4)2)

show your Matlab commands and answers in the space below

In: Electrical Engineering

Explain the concept of modulation. b-) Explain the reasons for the modulation. c-) Classify the modulation...

Explain the concept of modulation. b-) Explain the reasons for the modulation. c-) Classify the modulation and write the usage places. d-) Write down the factors that cause a loss in modulation circuits

In: Electrical Engineering

CAN SOMEONE RUN THIS WITH VHDL AND SEND ME THE OUTPUT? LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY...

CAN SOMEONE RUN THIS WITH VHDL AND SEND ME THE OUTPUT?

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY LFSR8 IS
PORT (Clk, Rst: IN std_logic;
output: OUT std_logic_vector (7 DOWNTO 0));
END LFSR8;
ARCHITECTURE LFSR8_beh OF LFSR8 IS
SIGNAL Currstate, Nextstate: std_logic_vector (7 DOWNTO 0);
SIGNAL feedback: std_logic;
BEGIN
StateReg: PROCESS (Clk,Rst)
BEGIN
IF (Rst = '1') THEN
Currstate <= (0 => '1', OTHERS =>'0');
ELSIF (Clk = '1' AND Clk'EVENT) THEN
Currstate <= Nextstate;
END IF;
END PROCESS;
feedback <= Currstate(4) XOR Currstate(3) XOR Currstate(2) XOR Currstate(0);
Nextstate <= feedback & Currstate(7 DOWNTO 1);
output <= Currstate;
END LFSR8_beh;

test bench:

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY Testbench IS
END Testbench;
ARCHITECTURE TBarch OF Testbench IS
COMPONENT LFSR8 IS
PORT (Clk, Rst: IN std_logic;
output: OUT std_logic_vector (7 DOWNTO 0));
END COMPONENT;
SIGNAL Clk_s, Rst_s: std_logic;
SIGNAL output_s: std_logic_vector(7 DOWNTO 0);
BEGIN
CompToTest: LFSR8 PORT MAP (Clk_s, Rst_s, output_s);
Clk_proc: PROCESS
BEGIN
Clk_s <= '1';
WAIT FOR 10 ns;
Clk_s <= '0';
WAIT FOR 10 ns;
END PROCESS clk_proc;
Vector_proc: PROCESS
BEGIN
Rst_s <= '1';
WAIT FOR 5 NS;
Rst_s <= '0';
FOR index IN 0 To 4 LOOP
WAIT UNTIL Clk_s='1' AND Clk_s'EVENT;
END LOOP;
WAIT FOR 5 NS;
ASSERT output_s = X"88" REPORT "Failed output=88";
WAIT;
END PROCESS Vector_proc;
END TBarch;

In: Electrical Engineering

Problem 5) A long shunt 600 V, 1200-rpm DC motor has a series field winding resistance...

Problem 5)
A long shunt 600 V, 1200-rpm DC motor has a series field winding resistance of 0.05 Ω, a shunt field winding resistance of 200 Ω, and an armature resistance of 0.1 Ω. The machine is connected to 600 V DC source. The rotational loss is 3.2 kW. If the machine draws a 100 A from the supply, calculate
a. Back EMF
b. Determine the machine’s converted power (or developed power) and its efficiency.
c. Determine the speed regulation if the machine draws 165 A at full load.
  

In: Electrical Engineering

H(s)G(s) = 30(s+2)/(s^3+7s^2+12s) In MATLAB, Use the function margin to plot log-magnitude, phase, gain margin, phase...

H(s)G(s) = 30(s+2)/(s^3+7s^2+12s)

In MATLAB, Use the function margin to plot log-magnitude, phase, gain margin, phase margin. Do not use the function bode.


I graphed it using margin, but how do I just get the phase? I got the gain margin, phase margin, and the cross over frequencies. Thanks

In: Electrical Engineering

List down three ways of determination of good code.

List down three ways of determination of good code.

In: Electrical Engineering

Draw the schematic diagram of circuit which realizes function F=x'y'z+xz by using 3 input active-0 output...

Draw the schematic diagram of circuit which realizes function F=x'y'z+xz by using 3 input active-0 output DECODER and necessary AND, OR, EXOR and NOT gates.

In: Electrical Engineering

A rectangular waveguide of width w and height h has cut-off frequencies for TE10 and TE11...

A rectangular waveguide of width w and height h has cut-off frequencies for TE10 and TE11 modes in the ratio 1 : 2. The aspect ratio ?

In: Electrical Engineering

How do the Gauss-Seidel, Newton-Raphson, and Fast-Decoupled-Newton-Raphson iteration methods differ from task layout, iteration terminations, and...


How do the Gauss-Seidel, Newton-Raphson, and Fast-Decoupled-Newton-Raphson iteration methods differ from task layout, iteration terminations, and
from the point of convergence?
What does the Gauss-Seidel method acceleration factor means, how does it affect the calculation?

In: Electrical Engineering

how an induction type Instrument can be used as an AC Voltmeter

how an induction type Instrument can be used as an AC Voltmeter

In: Electrical Engineering

Any microcontroller project with minimum requirement use switches, led, and 7-segment.

Any microcontroller project with minimum requirement use switches, led, and 7-segment.

In: Electrical Engineering