Question

In: Finance

I am a bit enamored with the new 2020 mid-engine Corvette. It lists for $59,995, but...

I am a bit enamored with the new 2020 mid-engine Corvette. It lists for $59,995, but with dealer gouge I suspect I would have to pay $110,000. Assuming I pay $110,000 with a 42,000 down payment and my loan is for 5 years at 8% EAR, then what will be my monthly car payment?

Solutions

Expert Solution

Loan taken= $110000

Down payment made: $42,000

Hence balance amount to be paid in 5 years= 110000-42000=68000

Equated annual rate= 8% monthly 8/12

monthly equated installments= total loan amount / (PVAF of 5 years,8%)= 68000/(1+(8/12))5*12

=$1378.79
payment schedule

months Opening balance Installment Interest@ 0.67% Monthly principal Closing alance
1 68000.00 1378.79 453.33 925.46 67074.54
2 67074.54 1378.79 447.16 931.63 66142.91
3 66142.91 1378.79 440.95 937.84 65205.07
4 65205.07 1378.79 434.70 944.09 64260.97
5 64260.97 1378.79 428.41 950.39 63310.58
6 63310.58 1378.79 422.07 956.72 62353.86
7 62353.86 1378.79 415.69 963.10 61390.76
8 61390.76 1378.79 409.27 969.52 60421.23
9 60421.23 1378.79 402.81 975.99 59445.25
10 59445.25 1378.79 396.30 982.49 58462.75
11 58462.75 1378.79 389.75 989.04 57473.71
12 57473.71 1378.79 383.16 995.64 56478.07
13 56478.07 1378.79 376.52 1002.27 55475.80
14 55475.80 1378.79 369.84 1008.96 54466.84
15 54466.84 1378.79 363.11 1015.68 53451.16
16 53451.16 1378.79 356.34 1022.45 52428.71
17 52428.71 1378.79 349.52 1029.27 51399.44
18 51399.44 1378.79 342.66 1036.13 50363.30
19 50363.30 1378.79 335.76 1043.04 49320.26
20 49320.26 1378.79 328.80 1049.99 48270.27
21 48270.27 1378.79 321.80 1056.99 47213.28
22 47213.28 1378.79 314.76 1064.04 46149.24
23 46149.24 1378.79 307.66 1071.13 45078.11
24 45078.11 1378.79 300.52 1078.27 43999.83
25 43999.83 1378.79 293.33 1085.46 42914.37
26 42914.37 1378.79 286.10 1092.70 41821.67
27 41821.67 1378.79 278.81 1099.98 40721.69
28 40721.69 1378.79 271.48 1107.32 39614.37
29 39614.37 1378.79 264.10 1114.70 38499.67
30 38499.67 1378.79 256.66 1122.13 37377.54
31 37377.54 1378.79 249.18 1129.61 36247.93
32 36247.93 1378.79 241.65 1137.14 35110.79
33 35110.79 1378.79 234.07 1144.72 33966.06
34 33966.06 1378.79 226.44 1152.35 32813.71
35 32813.71 1378.79 218.76 1160.04 31653.67
36 31653.67 1378.79 211.02 1167.77 30485.90
37 30485.90 1378.79 203.24 1175.56 29310.35
38 29310.35 1378.79 195.40 1183.39 28126.95
39 28126.95 1378.79 187.51 1191.28 26935.67
40 26935.67 1378.79 179.57 1199.22 25736.45
41 25736.45 1378.79 171.58 1207.22 24529.23
42 24529.23 1378.79 163.53 1215.27 23313.96
43 23313.96 1378.79 155.43 1223.37 22090.60
44 22090.60 1378.79 147.27 1231.52 20859.07
45 20859.07 1378.79 139.06 1239.73 19619.34
46 19619.34 1378.79 130.80 1248.00 18371.34
47 18371.34 1378.79 122.48 1256.32 17115.02
48 17115.02 1378.79 114.10 1264.69 15850.32
49 15850.32 1378.79 105.67 1273.13 14577.20
50 14577.20 1378.79 97.18 1281.61 13295.58
51 13295.58 1378.79 88.64 1290.16 12005.43
52 12005.43 1378.79 80.04 1298.76 10706.67
53 10706.67 1378.79 71.38 1307.42 9399.25
54 9399.25 1378.79 62.66 1316.13 8083.12
55 8083.12 1378.79 53.89 1324.91 6758.21
56 6758.21 1378.79 45.05 1333.74 5424.47
57 5424.47 1378.79 36.16 1342.63 4081.84
58 4081.84 1378.79 27.21 1351.58 2730.26
59 2730.26 1378.79 18.20 1360.59 1369.66
60 1369.66 1378.79 9.13 1369.66 0.00

Related Solutions

I am a bit enamored with the new 2020 mid-engine Corvette. It lists for $59,995, but...
I am a bit enamored with the new 2020 mid-engine Corvette. It lists for $59,995, but with dealer gouge I suspect I would have to pay $110,000. Assuming I pay $110,000 with a $20,000 down payment and my loan is for 5 years at 8% EAR, then what will be my monthly car payment?
Hello, I am studying and I am a bit confused about registers. There are segments registers,...
Hello, I am studying and I am a bit confused about registers. There are segments registers, data registers, pointer registers, index registers. But I do not really understand where these are found. Are they found in 8086 architecture? For instance if I ask what type of registers are found in 8086 architecture what will be the answer? All of these or only segment registers?
Hello, I am very new to 64-bit ARM assembly and would like the code to this...
Hello, I am very new to 64-bit ARM assembly and would like the code to this following question: The Fibonacci Sequence is a series of integers. The first two numbers in the sequence are both 1; after that, each number is the sum of the preceding two numbers. 1, 1, 2, 3, 5, 8, 13, 21, 34, 55, 89, 144, ... For example, 1+1=2, 1+2=3, 2+3=5, 3+5=8, etc. The nth Fibonacci number is the nth number in this sequence, so...
Python: I am currently a function that will return a list of lists, with the frequency...
Python: I am currently a function that will return a list of lists, with the frequency and the zip code. However, I am having a difficult time organizing the list in decreasing order of frequency. We are asked to use sort () and sorted () instead of lambda. The function will find 5 digit zip codes, and when it sees a 9-digit zip code, the function needs to truncate the last 4 digits. The following is an example of the...
I am a bit confused with the concept of "Operating Leverage". I understand its formula of...
I am a bit confused with the concept of "Operating Leverage". I understand its formula of the ratio of Contribution margin to Net income but I cannot clarify how its increase can have a negative impact on the profits and increase in risk.
Hypothetical: I am an administrator for a hospital. I am in charge of developing a new...
Hypothetical: I am an administrator for a hospital. I am in charge of developing a new project/department specializing in outpatient corneal transplant surgery. What would be the best way to finance this project? Debt financing, equity financing, etc? And how do I go about this?
This is for my finance class and I am a bit stuck. We're asked to use...
This is for my finance class and I am a bit stuck. We're asked to use the Delta hedging formula (i.e. how much stock to hold) for the multiperiod binomial model to confirm that a financial derivative paying the stock price at time t=N (i.e. V_N = S_N) must be priced with V_0 = S_0 today.
I am trying to write the code for an 8 bit adder in VHDL so that...
I am trying to write the code for an 8 bit adder in VHDL so that I can program it onto my Elbert V2 Spartan 3A FPGA Development Board, but I keep getting errors. Any ideas what I am doing wrong? library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity adder8bit is Port ( a : in STD_LOGIC_VECTOR(7 downto 0); b : in STD_LOGIC_VECTOR(7 downto 0); cin : in STD_LOGIC; o : out STD_LOGIC_VECTOR(7 downto 0); cout : out STD_LOGIC); end adder8bit; architecture Behavioral...
I am a bit confused on this T/F question. Please provide an explanation. I said T....
I am a bit confused on this T/F question. Please provide an explanation. I said T. 1. The "this" keyword is meaningful only within instance methods. The options are Generics Interfaces Classes Fields Methods {{{{{{{{I chose interface}}}}}}}}}} 2. Abstract data types are expressed as which of these in Java;
I had a question about ethnicity. I'm writing a paper and am a bit confused on...
I had a question about ethnicity. I'm writing a paper and am a bit confused on the word ethnicity. For example if a person is half asian and half white with some european ancestry what would you consider their ethnicity to be? And how does that differ from race?
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT